OpenCores
URL https://opencores.org/ocsvn/lcd_block/lcd_block/trunk

Subversion Repositories lcd_block

[/] [lcd_block/] [trunk/] [hdl/] [iseProject/] [top_hw_testbench.v] - Diff between revs 13 and 14

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 14
Line 1... Line 1...
`timescale 1ns / 1ps
`timescale 1ns / 1ps
/*
/*
Top module that will instantiate and connect our DUT (lcd_controller) the ICON, VIO , ILA cores
Top module that will instantiate and connect our DUT (lcd_controller) the ICON, VIO , ILA cores
 
For more information refer to this tutorial (Search in docs if link is broken)
 
http://www.stanford.edu/~phartke/chipscope_tutorial.pdf
 
http://www.stanford.edu/class/ee183/handouts.shtml
*/
*/
module top_hw_testbench(
module top_hw_testbench(
    input clk,
    input clk,
         output hw_lcd_e,
         output hw_lcd_e,
         output hw_lcd_rs,
         output hw_lcd_rs,
Line 57... Line 60...
        ); // IN BUS [0:0]
        ); // IN BUS [0:0]
 
 
        coreVIO VIO_inst
        coreVIO VIO_inst
    (
    (
      .CONTROL(control1), // INOUT BUS [35:0]
      .CONTROL(control1), // INOUT BUS [35:0]
      .ASYNC_OUT(async_out)
                .CLK(clk),
 
                .SYNC_OUT(async_out)
 
      //.ASYNC_OUT(async_out)
        ); // IN BUS [18:0]
        ); // IN BUS [18:0]
 
 
        assign trig_0 = lcd_e;
        assign trig_0 = lcd_e;
        assign {rst, rs_in, data_in, strobe_in, period_clk_ns} = async_out;
        assign {rst, rs_in, data_in, strobe_in, period_clk_ns} = async_out;
        assign data = {7'd1,lcd_e, lcd_nibble[3:0], lcd_rs, lcd_rw, disable_flash, done, strobe_in};
        assign data = {7'd1,lcd_e, lcd_nibble[3:0], lcd_rs, lcd_rw, disable_flash, done, strobe_in};

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.