OpenCores
URL https://opencores.org/ocsvn/leros/leros/trunk

Subversion Repositories leros

[/] [leros/] [trunk/] [Makefile] - Diff between revs 3 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 4
Line 6... Line 6...
EXTENSIONS=class rbf rpt sof pin summary ttf qdf dat wlf done qws
EXTENSIONS=class rbf rpt sof pin summary ttf qdf dat wlf done qws
 
 
#
#
#       Set USB to true for an FTDI chip based board (dspio, usbmin, lego)
#       Set USB to true for an FTDI chip based board (dspio, usbmin, lego)
#
#
USB=false
USB=true
 
 
 
 
# Assembler files
# Assembler files
APP=test
APP=test
APP=muvium
APP=muvium
 
 
 
# Java application
 
JAPP=Blink
 
JAPP_PKG=.
 
 
# Altera FPGA configuration cable
# Altera FPGA configuration cable
#BLASTER_TYPE=ByteBlasterMV
#BLASTER_TYPE=ByteBlasterMV
BLASTER_TYPE=USB-Blaster
BLASTER_TYPE=USB-Blaster
 
 
ifeq ($(WINDIR),)
ifeq ($(WINDIR),)
Line 25... Line 30...
        USBRUNNER=USBRunner.exe
        USBRUNNER=USBRunner.exe
        S=\;
        S=\;
endif
endif
 
 
# The VHDL project for Quartus
# The VHDL project for Quartus
QPROJ=dspio
 
QPROJ=altde2-70
QPROJ=altde2-70
 
QPROJ=dspio
 
 
 
# Some shortcuts
 
MUVIUM=LerosMuviumSDK
 
MUVIUM_CP=./$(S)./lib/Muvium-Leros.jar$(S)./MUVIUM_CP=./$(S)./lib/jdom.jar$(S)./lib/jaxen.jar$(S).
 
TARGET_SRC=java/target/src
 
 
all: directories tools rom
all: directories tools rom
        make lerosusb
        make lerosusb
        make config
        make config
 
 
directories:
directories:
        -mkdir rbf
        -mkdir rbf
 
 
tools:
tools:
        -rm -rf java/classes
        -rm -rf rbf
        -rm -rf java/lib
        -rm -rf java/tools/classes
        -rm -rf java/src/leros/asm/generated
        -rm -rf java/tools/lib
        mkdir java/classes
        -rm -rf java/tools/src/leros/asm/generated
        mkdir java/lib
        mkdir rbf
        mkdir java/src/leros/asm/generated
        mkdir java/tools/classes
 
        mkdir java/tools/lib
 
        mkdir java/tools/src/leros/asm/generated
        java -classpath lib/antlr-3.3-complete.jar org.antlr.Tool \
        java -classpath lib/antlr-3.3-complete.jar org.antlr.Tool \
                -fo java/src/leros/asm/generated java/src/grammar/Leros.g
                -fo java/tools/src/leros/asm/generated \
 
                java/tools/src/grammar/Leros.g
        javac -classpath lib/antlr-3.3-complete.jar \
        javac -classpath lib/antlr-3.3-complete.jar \
                -d java/classes java/src/leros/asm/generated/*.java \
                -d java/tools/classes java/tools/src/leros/asm/generated/*.java \
                java/src/leros/asm/*.java
                java/tools/src/leros/asm/*.java
        javac -d java/classes -sourcepath java/src java/src/leros/sim/*.java
        javac -d java/tools/classes -sourcepath \
        cd java/classes && jar cf ../lib/leros-tools.jar *
                java/tools/src java/tools/src/leros/sim/*.java
 
        cd java/tools/classes && jar cf ../lib/leros-tools.jar *
 
 
 
java_app:
 
        -rm -rf java/target/classes
 
        mkdir java/target/classes
 
        javac -target 1.5 -g -d java/target/classes \
 
                -sourcepath  $(TARGET_SRC) $(TARGET_SRC)/$(JAPP).java
 
        cd $(MUVIUM); java -cp $(MUVIUM_CP)$(S)../java/target/classes \
 
                MuviumMetal $(JAPP) config.xml ../asm/muvium.asm
 
 
 
japp:
 
        make java_app
 
        make rom -e APP=muvium
 
        make lerosusb
 
        make config
 
 
rom:
rom:
        -rm -rf vhdl/generated
        -rm -rf vhdl/generated
        mkdir vhdl/generated
        mkdir vhdl/generated
        java -cp java/lib/leros-tools.jar$(S)lib/antlr-3.3-complete.jar \
        java -cp java/tools/lib/leros-tools.jar$(S)lib/antlr-3.3-complete.jar \
                leros.asm.LerosAsm -s asm -d vhdl/generated $(APP).asm
                leros.asm.LerosAsm -s asm -d vhdl/generated $(APP).asm
 
 
jsim: rom
jsim: rom
        java -cp java/lib/leros-tools.jar -Dlog=false \
        java -cp java/lib/leros-tools.jar -Dlog=false \
                leros.sim.LerosSim rom.txt
                leros.sim.LerosSim rom.txt
sim: rom
sim: rom
        cd modelsim; make
        cd modelsim; make
 
 
rom_old:
 
        -rm -rf vhdl/generated
 
        mkdir vhdl/generated
 
        java -cp java/lib/leros-tools.jar leros.LerosAsm -s asm -d vhdl/generated $(APP).asm
 
 
 
# configure the FPGA
# configure the FPGA
config:
config:
ifeq ($(USB),true)
ifeq ($(USB),true)
        make config_usb
        make config_usb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.