OpenCores
URL https://opencores.org/ocsvn/leros/leros/trunk

Subversion Repositories leros

[/] [leros/] [trunk/] [Makefile] - Diff between revs 4 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 7
Line 17... Line 17...
 
 
# Java application
# Java application
JAPP=Blink
JAPP=Blink
JAPP_PKG=.
JAPP_PKG=.
 
 
 
# Target Java compiler
 
# muvium has issues with the current Oracle javac,
 
# so we use jikes
 
JAVAC_TARG=jikes/jikes
 
# JAVAC_TARG=javac
 
 
# Altera FPGA configuration cable
# Altera FPGA configuration cable
#BLASTER_TYPE=ByteBlasterMV
#BLASTER_TYPE=ByteBlasterMV
BLASTER_TYPE=USB-Blaster
BLASTER_TYPE=USB-Blaster
 
 
ifeq ($(WINDIR),)
ifeq ($(WINDIR),)
Line 67... Line 73...
        cd java/tools/classes && jar cf ../lib/leros-tools.jar *
        cd java/tools/classes && jar cf ../lib/leros-tools.jar *
 
 
java_app:
java_app:
        -rm -rf java/target/classes
        -rm -rf java/target/classes
        mkdir java/target/classes
        mkdir java/target/classes
        javac -target 1.5 -g -d java/target/classes \
        $(JAVAC_TARG) -target 1.5 -g -d java/target/classes \
                -sourcepath  $(TARGET_SRC) $(TARGET_SRC)/$(JAPP).java
                -sourcepath  $(TARGET_SRC) $(TARGET_SRC)/$(JAPP).java
        cd $(MUVIUM); java -cp $(MUVIUM_CP)$(S)../java/target/classes \
        cd $(MUVIUM); java -cp $(MUVIUM_CP)$(S)../java/target/classes \
                MuviumMetal $(JAPP) config.xml ../asm/muvium.asm
                MuviumMetal $(JAPP) config.xml ../asm/muvium.asm
 
 
japp:
japp:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.