OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [test/] [irq_test/] [obj_code_pkg.vhdl] - Diff between revs 3 and 18

Show entire file | Details | Blame | View Log

Rev 3 Rev 18
Line 35... Line 35...
package obj_code_pkg is
package obj_code_pkg is
 
 
-- Size of XCODE memory in bytes.
-- Size of XCODE memory in bytes.
constant XCODE_SIZE : natural := 2048;
constant XCODE_SIZE : natural := 2048;
-- Size of XDATA memory in bytes.
-- Size of XDATA memory in bytes.
constant XDATA_SIZE : natural := 512;
constant XDATA_SIZE : natural := 0;
 
 
-- Object code initialization constant.
-- Object code initialization constant.
constant object_code : t_obj_code(0 to 355) := (
constant object_code : t_obj_code(0 to 639) := (
    X"02", X"00", X"30", X"02", X"00", X"d4", X"00", X"00",
    X"02", X"00", X"46", X"02", X"01", X"3f", X"00", X"00",
    X"00", X"00", X"00", X"02", X"00", X"e2", X"00", X"00",
    X"00", X"00", X"00", X"02", X"01", X"9a", X"00", X"00",
    X"00", X"00", X"00", X"02", X"00", X"e2", X"00", X"00",
    X"00", X"00", X"00", X"02", X"01", X"ea", X"00", X"00",
    X"00", X"00", X"00", X"02", X"00", X"e2", X"00", X"00",
    X"00", X"00", X"00", X"02", X"01", X"ea", X"00", X"00",
    X"00", X"00", X"00", X"02", X"00", X"e2", X"00", X"00",
    X"00", X"00", X"00", X"02", X"01", X"ea", X"00", X"00",
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
    X"75", X"a8", X"00", X"75", X"90", X"01", X"00", X"00",
    X"90", X"02", X"43", X"12", X"01", X"33", X"75", X"a8",
    X"00", X"e5", X"60", X"b4", X"00", X"7f", X"d2", X"c0",
    X"00", X"01", X"39", X"90", X"02", X"12", X"12", X"01",
    X"75", X"a8", X"01", X"75", X"90", X"01", X"00", X"00",
    X"33", X"75", X"a8", X"00", X"01", X"44", X"75", X"a8",
    X"00", X"e5", X"60", X"b4", X"00", X"6f", X"d2", X"c0",
    X"00", X"75", X"b8", X"01", X"75", X"64", X"00", X"75",
    X"75", X"90", X"00", X"75", X"a8", X"81", X"75", X"60",
    X"90", X"01", X"00", X"00", X"00", X"e5", X"60", X"b4",
    X"00", X"75", X"90", X"01", X"00", X"00", X"00", X"e5",
    X"00", X"e1", X"d2", X"c0", X"75", X"a8", X"01", X"75",
    X"60", X"b4", X"01", X"4e", X"d2", X"c0", X"90", X"01",
    X"90", X"01", X"00", X"00", X"00", X"e5", X"60", X"b4",
    X"08", X"12", X"00", X"c8", X"75", X"a8", X"00", X"75",
    X"00", X"d1", X"d2", X"c0", X"75", X"90", X"00", X"75",
    X"88", X"00", X"75", X"8d", X"00", X"75", X"8c", X"00",
    X"a8", X"81", X"75", X"60", X"00", X"75", X"90", X"01",
    X"75", X"8f", X"c3", X"75", X"8e", X"50", X"75", X"88",
    X"00", X"00", X"00", X"e5", X"60", X"b4", X"01", X"b0",
    X"30", X"78", X"5f", X"00", X"d8", X"fd", X"e5", X"8d",
    X"d2", X"c0", X"75", X"64", X"01", X"75", X"90", X"00",
    X"b4", X"00", X"1c", X"e5", X"8c", X"b4", X"00", X"17",
    X"75", X"a8", X"83", X"75", X"60", X"00", X"75", X"90",
    X"78", X"0a", X"00", X"d8", X"fd", X"e5", X"8d", X"b4",
    X"01", X"00", X"00", X"e5", X"60", X"b4", X"01", X"98",
    X"00", X"0d", X"e5", X"8c", X"b4", X"01", X"08", X"90",
    X"d2", X"c0", X"75", X"64", X"02", X"75", X"90", X"00",
    X"01", X"43", X"12", X"00", X"c8", X"01", X"a5", X"90",
    X"75", X"a8", X"83", X"75", X"60", X"00", X"75", X"90",
    X"01", X"35", X"12", X"00", X"c8", X"75", X"a8", X"00",
    X"01", X"00", X"00", X"e5", X"60", X"b4", X"01", X"80",
    X"01", X"b0", X"90", X"01", X"27", X"12", X"00", X"c8",
    X"d2", X"c0", X"75", X"64", X"03", X"75", X"62", X"00",
    X"75", X"a8", X"00", X"01", X"bb", X"90", X"00", X"f6",
    X"75", X"90", X"00", X"75", X"a8", X"83", X"75", X"60",
    X"12", X"00", X"c8", X"75", X"a8", X"00", X"01", X"c6",
    X"00", X"75", X"88", X"01", X"75", X"8d", X"00", X"75",
    X"78", X"00", X"e8", X"08", X"93", X"60", X"04", X"f5",
    X"8c", X"00", X"75", X"8f", X"00", X"75", X"8e", X"01",
    X"99", X"80", X"f7", X"22", X"75", X"90", X"00", X"75",
    X"75", X"88", X"30", X"79", X"5f", X"00", X"00", X"00",
    X"c0", X"ff", X"05", X"60", X"90", X"00", X"e4", X"11",
    X"00", X"00", X"00", X"00", X"00", X"d9", X"f6", X"e5",
    X"c8", X"32", X"01", X"e2", X"3c", X"45", X"78", X"74",
    X"62", X"b4", X"01", X"41", X"90", X"02", X"24", X"12",
    X"65", X"72", X"6e", X"61", X"6c", X"20", X"69", X"72",
    X"01", X"33", X"75", X"a8", X"00", X"75", X"88", X"00",
    X"71", X"3e", X"0d", X"0a", X"00", X"00", X"55", X"6e",
    X"75", X"8d", X"00", X"75", X"8c", X"00", X"75", X"8f",
    X"65", X"78", X"70", X"65", X"63", X"74", X"65", X"64",
    X"c3", X"75", X"8e", X"50", X"75", X"88", X"30", X"78",
    X"20", X"49", X"52", X"51", X"0d", X"0a", X"00", X"00",
    X"5f", X"00", X"d8", X"fd", X"e5", X"8d", X"b4", X"00",
    X"49", X"52", X"51", X"20", X"74", X"65", X"73", X"74",
    X"1f", X"e5", X"8c", X"b4", X"00", X"1a", X"78", X"0a",
    X"20", X"66", X"69", X"6e", X"69", X"73", X"68", X"65",
    X"00", X"d8", X"fd", X"e5", X"8d", X"b4", X"00", X"10",
    X"64", X"2c", X"20", X"6e", X"6f", X"20", X"65", X"72",
    X"e5", X"8c", X"b4", X"01", X"0b", X"90", X"02", X"5f",
    X"72", X"6f", X"72", X"73", X"0d", X"0a", X"00", X"4d",
    X"12", X"01", X"33", X"21", X"23", X"02", X"01", X"f6",
    X"69", X"73", X"73", X"69", X"6e", X"67", X"20", X"49",
    X"90", X"02", X"51", X"12", X"01", X"33", X"75", X"a8",
    X"52", X"51", X"0d", X"0a", X"00", X"54", X"69", X"6d",
    X"00", X"21", X"31", X"78", X"00", X"e8", X"08", X"93",
    X"65", X"72", X"20", X"65", X"72", X"72", X"6f", X"72",
    X"60", X"04", X"f5", X"99", X"80", X"f7", X"22", X"75",
    X"0d", X"0a", X"00", X"54", X"69", X"6d", X"65", X"72",
    X"90", X"00", X"75", X"c0", X"ff", X"05", X"60", X"e5",
    X"20", X"74", X"65", X"73", X"74", X"20", X"66", X"69",
    X"64", X"b4", X"00", X"06", X"90", X"02", X"00", X"31",
    X"6e", X"69", X"73", X"68", X"65", X"64", X"2c", X"20",
    X"33", X"32", X"b4", X"02", X"2a", X"75", X"62", X"00",
    X"6e", X"6f", X"20", X"65", X"72", X"72", X"6f", X"72",
    X"75", X"88", X"01", X"75", X"8d", X"00", X"75", X"8c",
    X"73", X"0d", X"0a", X"00"
    X"00", X"75", X"8f", X"00", X"75", X"8e", X"01", X"75",
 
    X"a8", X"82", X"75", X"88", X"30", X"78", X"5f", X"00",
 
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"d8",
 
    X"f6", X"e5", X"62", X"b4", X"00", X"6e", X"32", X"b4",
 
    X"01", X"17", X"75", X"60", X"00", X"75", X"64", X"00",
 
    X"75", X"a8", X"81", X"75", X"90", X"01", X"78", X"0a",
 
    X"00", X"d8", X"fd", X"e5", X"60", X"b4", X"00", X"54",
 
    X"32", X"32", X"e5", X"64", X"b4", X"03", X"45", X"75",
 
    X"60", X"00", X"75", X"64", X"00", X"75", X"a8", X"81",
 
    X"75", X"90", X"01", X"78", X"0a", X"00", X"d8", X"fd",
 
    X"e5", X"60", X"b4", X"01", X"41", X"75", X"64", X"00",
 
    X"75", X"62", X"00", X"75", X"88", X"01", X"75", X"8d",
 
    X"00", X"75", X"8c", X"00", X"75", X"8f", X"00", X"75",
 
    X"8e", X"01", X"75", X"88", X"30", X"79", X"5f", X"00",
 
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"d9",
 
    X"f6", X"e5", X"62", X"b4", X"00", X"0e", X"05", X"62",
 
    X"75", X"88", X"01", X"32", X"05", X"62", X"75", X"88",
 
    X"01", X"32", X"21", X"ea", X"90", X"02", X"12", X"31",
 
    X"33", X"75", X"a8", X"00", X"21", X"f4", X"90", X"02",
 
    X"43", X"31", X"33", X"75", X"a8", X"00", X"21", X"fe",
 
    X"3c", X"45", X"78", X"74", X"65", X"72", X"6e", X"61",
 
    X"6c", X"20", X"69", X"72", X"71", X"3e", X"0d", X"0a",
 
    X"00", X"00", X"55", X"6e", X"65", X"78", X"70", X"65",
 
    X"63", X"74", X"65", X"64", X"20", X"49", X"52", X"51",
 
    X"0d", X"0a", X"00", X"00", X"49", X"52", X"51", X"20",
 
    X"74", X"65", X"73", X"74", X"20", X"66", X"69", X"6e",
 
    X"69", X"73", X"68", X"65", X"64", X"2c", X"20", X"6e",
 
    X"6f", X"20", X"65", X"72", X"72", X"6f", X"72", X"73",
 
    X"0d", X"0a", X"00", X"4d", X"69", X"73", X"73", X"69",
 
    X"6e", X"67", X"20", X"49", X"52", X"51", X"0d", X"0a",
 
    X"00", X"54", X"69", X"6d", X"65", X"72", X"20", X"65",
 
    X"72", X"72", X"6f", X"72", X"0d", X"0a", X"00", X"54",
 
    X"69", X"6d", X"65", X"72", X"20", X"74", X"65", X"73",
 
    X"74", X"20", X"66", X"69", X"6e", X"69", X"73", X"68",
 
    X"65", X"64", X"2c", X"20", X"6e", X"6f", X"20", X"65",
 
    X"72", X"72", X"6f", X"72", X"73", X"0d", X"0a", X"00"
 
 
);
);
 
 
 
 
end package obj_code_pkg;
end package obj_code_pkg;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.