OpenCores
URL https://opencores.org/ocsvn/light8080/light8080/trunk

Subversion Repositories light8080

[/] [light8080/] [trunk/] [vhdl/] [light8080.vhdl] - Diff between revs 4 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 6
Line 143... Line 143...
 
 
-- microcode ROM : see design notes and microcode source file 
-- microcode ROM : see design notes and microcode source file 
type t_rom is array (0 to 511) of std_logic_vector(31 downto 0);
type t_rom is array (0 to 511) of std_logic_vector(31 downto 0);
 
 
signal rom : t_rom := (
signal rom : t_rom := (
 
 
"00000000000000000000000000000000", -- 000
"00000000000000000000000000000000", -- 000
"00000000000001001000000001000100", -- 001
"00000000000001001000000001000100", -- 001
"00000000000001000000000001000100", -- 002
"00000000000001000000000001000100", -- 002
"10111101101001001000000001001101", -- 003
"10111101101001001000000001001101", -- 003
"10110110101001000000000001001101", -- 004
"10110110101001000000000001001101", -- 004
Line 251... Line 250...
"00001000000000000000110000011001", -- 066
"00001000000000000000110000011001", -- 066
"00000100011000111000001110001110", -- 067
"00000100011000111000001110001110", -- 067
"10111100101100000000001001001101", -- 068
"10111100101100000000001001001101", -- 068
"00000100000000000000000000000000", -- 069
"00000100000000000000000000000000", -- 069
"00001000000000000000110000011001", -- 06a
"00001000000000000000110000011001", -- 06a
"10100000000000000000001010001101", -- 06b
"10111100000000000000001010001101", -- 06b
"00001000000000000000110000011100", -- 06c
"00001000000000000000110000011100", -- 06c
"10111100011100000000001001001111", -- 06d
"10111100011100000000001001001111", -- 06d
"00000100000000000000000000000000", -- 06e
"00000100000000000000000000000000", -- 06e
"00001000000000000000110000011001", -- 06f
"00001000000000000000110000011001", -- 06f
"11000000000000000000000000000000", -- 070
"11000000000000000000000000000000", -- 070

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.