OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [rtl/] [lpffir_axis.sv] - Diff between revs 7 and 8

Show entire file | Details | Blame | View Log

Rev 7 Rev 8
Line 48... Line 48...
                    input               aresetn_i,
                    input               aresetn_i,
                    // AXI-Stream RX interface
                    // AXI-Stream RX interface
                    input               rx_tlast_i,
                    input               rx_tlast_i,
                    input               rx_tvalid_i,
                    input               rx_tvalid_i,
                    output logic        rx_tready_o,
                    output logic        rx_tready_o,
                    input [15:0]        rx_tdate_i,
                    input [15:0]        rx_tdata_i,
                    // AXI-Stream TX interface
                    // AXI-Stream TX interface
                    output logic        tx_tlast_o,
                    output logic        tx_tlast_o,
                    output reg          tx_tvalid_o,
                    output reg          tx_tvalid_o,
                    input               tx_tready_i,
                    input               tx_tready_i,
                    output logic [15:0] tx_tdate_o
                    output logic [15:0] tx_tdata_o
                    );
                    );
 
 
   logic                                lpffir_en = rx_tvalid_i && tx_tready_i;
   logic                                lpffir_en = rx_tvalid_i && tx_tready_i;
 
 
   // AXI-Stream interface
   // AXI-Stream interface
Line 68... Line 68...
   // LPFFIR
   // LPFFIR
   lpffir_core lpffir_core(
   lpffir_core lpffir_core(
                           .clk_i(aclk_i),
                           .clk_i(aclk_i),
                           .rstn_i(aresetn_i),
                           .rstn_i(aresetn_i),
                           .en_i(lpffir_en),
                           .en_i(lpffir_en),
                           .x_i(rx_tdate_i),
                           .x_i(rx_tdata_i),
                           .y_o(tx_tdate_o)
                           .y_o(tx_tdata_o)
                           );
                           );
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.