URL
https://opencores.org/ocsvn/manchesterwireless/manchesterwireless/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 3 |
Line 9... |
Line 9... |
-- This code is distributed in the hope that it will be useful,
|
-- This code is distributed in the hope that it will be useful,
|
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
|
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
|
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
|
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
|
-- Lesser General Public License for more details.
|
-- Lesser General Public License for more details.
|
--
|
--
|
|
-- Waits for data_i to high for INTERVAL_QUADRUPLE FPGA clocks then
|
|
-- sends ready_o high
|
--
|
--
|
-- Revision Date Author Comment
|
|
-- -------- ---------- -------------------- ----------------
|
|
-- 1.0 09/06/09 S. Green Initial version
|
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.numeric_std.all;
|
use ieee.numeric_std.all;
|
Line 27... |
Line 26... |
data_i : in std_logic;
|
data_i : in std_logic;
|
clk_i : in std_logic;
|
clk_i : in std_logic;
|
rst_i : in std_logic;
|
rst_i : in std_logic;
|
ready_o : out std_logic
|
ready_o : out std_logic
|
);
|
);
|
end waitForStart;
|
end;
|
|
|
architecture behavioral of waitForStart is
|
architecture behavioral of waitForStart is
|
begin
|
begin
|
process (clk_i, rst_i)
|
process (clk_i, rst_i)
|
variable counter : integer;
|
variable counter : integer;
|
Line 55... |
Line 54... |
ready_o <= '0';
|
ready_o <= '0';
|
end if;
|
end if;
|
end if;
|
end if;
|
end process;
|
end process;
|
|
|
end behavioral;
|
end;
|
|
|
|
|
No newline at end of file
|
No newline at end of file
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.