URL
https://opencores.org/ocsvn/manchesterwireless/manchesterwireless/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 3 |
Rev 4 |
Line 8... |
Line 8... |
entity sim_test is
|
entity sim_test is
|
end sim_test;
|
end sim_test;
|
|
|
architecture Behavioral of sim_test is
|
architecture Behavioral of sim_test is
|
|
|
COMPONENT twoToOne
|
COMPONENT decode
|
PORT(
|
PORT(
|
clk_i : in std_logic;
|
clk_i : in std_logic;
|
rst_i : in std_logic;
|
rst_i : in std_logic;
|
encoded_i : in std_logic_vector(3 downto 0);
|
encoded_i : in std_logic_vector(3 downto 0);
|
nd_i : in std_logic;
|
nd_i : in std_logic;
|
Line 39... |
Line 39... |
constant period : time := 2*half_period;
|
constant period : time := 2*half_period;
|
constant mid_single : time := (INTERVAL_MIN_SINGLE+INTERVAL_MAX_SINGLE)/2*period;
|
constant mid_single : time := (INTERVAL_MIN_SINGLE+INTERVAL_MAX_SINGLE)/2*period;
|
|
|
begin
|
begin
|
|
|
Inst_twoToOne: twoToOne PORT MAP(
|
Inst_decode: decode PORT MAP(
|
clk_i => clk_i,
|
clk_i => clk_i,
|
rst_i => rst_i,
|
rst_i => rst_i,
|
encoded_i => encoded_i,
|
encoded_i => encoded_i,
|
nd_i => nd_i,
|
nd_i => nd_i,
|
decoded_o => decoded_o,
|
decoded_o => decoded_o,
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.