OpenCores
URL https://opencores.org/ocsvn/mb-jpeg/mb-jpeg/trunk

Subversion Repositories mb-jpeg

[/] [mb-jpeg/] [tags/] [STEP2_2b/] [system.make] - Diff between revs 4 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 30... Line 30...
        @echo "  ace      : Generate ace file from bitstream and elf"
        @echo "  ace      : Generate ace file from bitstream and elf"
        @echo "  download : Downloads the bitstream onto the board"
        @echo "  download : Downloads the bitstream onto the board"
        @echo " "
        @echo " "
        @echo "  sim      : Generates HDL simulation models and runs simulator for chosen simulation mode"
        @echo "  sim      : Generates HDL simulation models and runs simulator for chosen simulation mode"
        @echo "  simmodel : Generates HDL simulation models for chosen simulation mode"
        @echo "  simmodel : Generates HDL simulation models for chosen simulation mode"
        @echo "  behavioral_model:Generates behavioral HDL models with BRAM initialization"
        @echo "  behavioral:Generates behavioral HDL models with BRAM initialization"
        @echo "  structural_model:Generates structural simulation HDL models with BRAM initialization"
        @echo "  structural:Generates structural simulation HDL models with BRAM initialization"
        @echo "  timing_model    : Generates timing simulation HDL models with BRAM initialization"
        @echo "  timing   : Generates timing simulation HDL models with BRAM initialization"
        @echo "  vp       : Generates virtual platform model"
        @echo "  vp       : Generates virtual platform model"
        @echo " "
        @echo " "
        @echo "  netlistclean: Deletes netlist"
        @echo "  netlistclean: Deletes netlist"
        @echo "  bitsclean: Deletes bit, ncd, bmm files"
        @echo "  bitsclean: Deletes bit, ncd, bmm files"
        @echo "  hwclean  : Deletes implementation dir"
        @echo "  hwclean  : Deletes implementation dir"
Line 78... Line 78...
 
 
behavioral_model: $(BEHAVIORAL_SIM_SCRIPT)
behavioral_model: $(BEHAVIORAL_SIM_SCRIPT)
 
 
structural_model: $(STRUCTURAL_SIM_SCRIPT)
structural_model: $(STRUCTURAL_SIM_SCRIPT)
 
 
timing_model: $(TIMING_SIM_SCRIPT)
 
 
 
vp: $(VPEXEC)
vp: $(VPEXEC)
 
 
clean: hwclean libsclean programclean simclean vpclean
clean: hwclean libsclean programclean simclean vpclean
        rm -f _impact.cmd
        rm -f _impact.cmd
 
 
Line 141... Line 139...
$(DECODER_OUTPUT) : $(DECODER_SOURCES) $(DECODER_HEADERS) $(DECODER_LINKER_SCRIPT) \
$(DECODER_OUTPUT) : $(DECODER_SOURCES) $(DECODER_HEADERS) $(DECODER_LINKER_SCRIPT) \
                    $(LIBRARIES) __xps/decoder_compiler.opt
                    $(LIBRARIES) __xps/decoder_compiler.opt
        @mkdir -p $(DECODER_OUTPUT_DIR)
        @mkdir -p $(DECODER_OUTPUT_DIR)
        $(DECODER_CC) $(DECODER_CC_OPT) $(DECODER_SOURCES) -o $(DECODER_OUTPUT) \
        $(DECODER_CC) $(DECODER_CC_OPT) $(DECODER_SOURCES) -o $(DECODER_OUTPUT) \
        $(DECODER_OTHER_CC_FLAGS) $(DECODER_INCLUDES) $(DECODER_LIBPATH) \
        $(DECODER_OTHER_CC_FLAGS) $(DECODER_INCLUDES) $(DECODER_LIBPATH) \
        -xl-mode-$(DECODER_MODE)  \
 
        $(DECODER_CFLAGS) $(DECODER_LFLAGS)
        $(DECODER_CFLAGS) $(DECODER_LFLAGS)
        $(DECODER_CC_SIZE) $(DECODER_OUTPUT)
        $(DECODER_CC_SIZE) $(DECODER_OUTPUT)
 
 
decoder_programclean:
decoder_programclean:
        rm -f $(DECODER_OUTPUT)
        rm -f $(DECODER_OUTPUT)
Line 173... Line 170...
        @echo "****************************************************"
        @echo "****************************************************"
        platgen $(PLATGEN_OPTIONS) -st xst $(MHSFILE)
        platgen $(PLATGEN_OPTIONS) -st xst $(MHSFILE)
 
 
$(POSTSYN_NETLIST): $(WRAPPER_NGC_FILES)
$(POSTSYN_NETLIST): $(WRAPPER_NGC_FILES)
        @echo "Running synthesis..."
        @echo "Running synthesis..."
        bash -c "cd synthesis; ./synthesis.sh; cd .."
        bash -c "cd synthesis; ./synthesis.sh"
 
 
$(SYSTEM_BIT): $(BMM_FILE) $(POSTSYN_NETLIST) __xps/xpsxflow.opt \
$(SYSTEM_BIT): $(FPGA_IMP_DEPENDENCY)
               $(UCF_FILE) $(BITGEN_UT_FILE) $(FASTRUNTIME_OPT_FILE)
 
        @echo "Copying Xilinx Implementation tool scripts.."
 
        @cp -f $(BITGEN_UT_FILE) implementation/bitgen.ut
 
        @cp -f $(FASTRUNTIME_OPT_FILE) implementation/fast_runtime.opt
 
        @cp -f $(UCF_FILE) implementation/$(SYSTEM).ucf
 
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Running Xilinx Implementation tools.."
        @echo "Running Xilinx Implementation tools.."
        @echo "*********************************************"
        @echo "*********************************************"
        xflow -wd implementation -p $(DEVICE) -implement fast_runtime.opt $(SYSTEM).ngc
        @cp -f $(UCF_FILE) implementation/$(SYSTEM).ucf
 
        @cp -f $(XFLOW_OPT_FILE) implementation/xflow.opt
 
        xflow -wd implementation -p $(DEVICE) -implement xflow.opt $(SYSTEM).ngc
 
        @echo "*********************************************"
 
        @echo "Running Bitgen.."
 
        @echo "*********************************************"
 
        @cp -f $(BITGEN_UT_FILE) implementation/bitgen.ut
        cd implementation; bitgen -w -f bitgen.ut $(SYSTEM)
        cd implementation; bitgen -w -f bitgen.ut $(SYSTEM)
 
 
exporttopn:
exporttopn:
        @echo "You have chosen XPS for implementation tool flow."
        @echo "You have chosen XPS for implementation tool flow."
        @echo "Please select ProjNav as your implementation flow in Project Options."
        @echo "Please select ProjNav as your implementation flow in Project Options."
        @echo "In batch mode, use commad xset pnproj ."
        @echo "In batch mode, use commad xset pnproj ."
 
 
$(DOWNLOAD_BIT): $(SYSTEM_BIT) $(BRAMINIT_ELF_FILES) __xps/bitinit.opt
$(DOWNLOAD_BIT): $(SYSTEM_BIT) $(BRAMINIT_ELF_FILES) __xps/bitinit.opt
        @cp -f implementation/$(SYSTEM)_bd.bmm .
        @cp -f implementation/$(SYSTEM)_bd.bmm .
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Initializing BRAM contents of the bitstream"
        @echo "Initializing BRAM contents of the bitstream"
Line 205... Line 203...
 
 
$(SYSTEM_ACE): $(DOWNLOAD_BIT) $(DECODER_OUTPUT)
$(SYSTEM_ACE): $(DOWNLOAD_BIT) $(DECODER_OUTPUT)
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Creating system ace file"
        @echo "Creating system ace file"
        @echo "*********************************************"
        @echo "*********************************************"
        xmd -tcl genace.tcl -jprog -hw $(DOWNLOAD_BIT) -elf $(DECODER_OUTPUT)  -ace $(SYSTEM_ACE)
        xmd -tcl genace.tcl -jprog -hw $(DOWNLOAD_BIT) -elf $(DECODER_OUTPUT)  -target mdm  -ace $(SYSTEM_ACE)
 
 
#################################################################
#################################################################
# SIMULATION FLOW
# SIMULATION FLOW
#################################################################
#################################################################
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.