OpenCores
URL https://opencores.org/ocsvn/mblite/mblite/trunk

Subversion Repositories mblite

[/] [mblite/] [trunk/] [hw/] [core/] [core_wb_adapter.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 31... Line 31...
);
);
END core_wb_adapter;
END core_wb_adapter;
 
 
ARCHITECTURE arch OF core_wb_adapter IS
ARCHITECTURE arch OF core_wb_adapter IS
 
 
    SIGNAL r_cyc_o : std_ulogic;
    SIGNAL r_cyc_o : std_logic;
    SIGNAL rin_cyc_o : std_ulogic;
    SIGNAL rin_cyc_o : std_logic;
    SIGNAL r_data, rin_data : std_ulogic_vector(CFG_DMEM_WIDTH - 1 DOWNTO 0);
    SIGNAL r_data, rin_data : std_logic_vector(CFG_DMEM_WIDTH - 1 DOWNTO 0);
    SIGNAL s_wait : std_ulogic;
    SIGNAL s_wait : std_logic;
 
 
BEGIN
BEGIN
 
 
    -- Direct input-output connections
    -- Direct input-output connections
    wb_o.adr_o   <= dmem_o.adr_o;
    wb_o.adr_o   <= dmem_o.adr_o;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.