OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [tags/] [MDCT_REL_B1_4/] [source/] [ROME.VHD] - Diff between revs 2 and 11

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 11
Line 30... Line 30...
  use WORK.MDCT_PKG.all;
  use WORK.MDCT_PKG.all;
 
 
entity ROME is
entity ROME is
  port(
  port(
       addr         : in  STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
       addr         : in  STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
 
       clk          : in  STD_LOGIC;
 
 
       datao        : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0)
       datao        : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0)
  );
  );
 
 
end ROME;
end ROME;
Line 113... Line 114...
     std_logic_vector( CP+BM ),
     std_logic_vector( CP+BM ),
     std_logic_vector( BM ),
     std_logic_vector( BM ),
     std_logic_vector( CP ),
     std_logic_vector( CP ),
     (others => '0')
     (others => '0')
     );
     );
 
  signal addr_reg : STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
 
 
begin
begin
 
 
  process( addr )
  datao <= rom( TO_INTEGER(UNSIGNED(addr_reg)) );
 
 
 
  process(clk)
  begin
  begin
    datao <= rom( TO_INTEGER(UNSIGNED(addr)) );
   if clk = '1' and clk'event then
 
     addr_reg <= addr;
 
   end if;
  end process;
  end process;
 
 
 
 
end RTL;
end RTL;
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.