OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [tags/] [MDCT_REL_B1_8/] [source/] [MDCT.VHD] - Diff between revs 15 and 16

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 16
Line 34... Line 34...
                clk          : in STD_LOGIC;
                clk          : in STD_LOGIC;
                rst          : in std_logic;
                rst          : in std_logic;
    dcti         : in std_logic_vector(IP_W-1 downto 0);
    dcti         : in std_logic_vector(IP_W-1 downto 0);
    idv          : in STD_LOGIC;
    idv          : in STD_LOGIC;
 
 
    fiforead     : out STD_LOGIC; -- ready for input data
 
    odv          : out STD_LOGIC;
    odv          : out STD_LOGIC;
    dcto         : out std_logic_vector(COE_W-1 downto 0);
    dcto         : out std_logic_vector(COE_W-1 downto 0);
    -- debug
    -- debug
    odv1         : out STD_LOGIC;
    odv1         : out STD_LOGIC;
    dcto1        : out std_logic_vector(OP_W-1 downto 0)
    dcto1        : out std_logic_vector(OP_W-1 downto 0)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.