OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [source/] [DBUFCTL.VHD] - Diff between revs 24 and 27

Show entire file | Details | Blame | View Log

Rev 24 Rev 27
Line 49... Line 49...
  memswitchwr  <= memswitchwr_reg;
  memswitchwr  <= memswitchwr_reg;
  memswitchrd  <= memswitchrd_reg;
  memswitchrd  <= memswitchrd_reg;
 
 
  memswitchrd_reg <= rmemsel;
  memswitchrd_reg <= rmemsel;
 
 
  MEM_SWITCH : process(clk)
  MEM_SWITCH : process(clk,rst)
  begin
  begin
    if clk = '1' and clk'event then
 
      if rst = '1' then
      if rst = '1' then
        memswitchwr_reg <= '0'; -- initially mem 1 is selected
        memswitchwr_reg <= '0'; -- initially mem 1 is selected
        dataready       <= '0';
        dataready       <= '0';
      else
    elsif clk = '1' and clk'event then
 
 
        memswitchwr_reg <= wmemsel;
        memswitchwr_reg <= wmemsel;
 
 
        if wmemsel /= memswitchwr_reg then
        if wmemsel /= memswitchwr_reg then
          dataready <= '1';
          dataready <= '1';
        end if;
        end if;
 
 
        if datareadyack = '1' then
        if datareadyack = '1' then
          dataready <= '0';
          dataready <= '0';
        end if;
        end if;
 
 
      end if;
 
    end if;
    end if;
  end process;
  end process;
 
 
end RTL;
end RTL;
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.