OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [source/] [MDCT_PKG.vhd] - Diff between revs 24 and 27

Show entire file | Details | Blame | View Log

Rev 24 Rev 27
Line 54... Line 54...
        constant DM : INTEGER := -2009;
        constant DM : INTEGER := -2009;
        constant EM : INTEGER := -1703;
        constant EM : INTEGER := -1703;
        constant FM : INTEGER := -1138;
        constant FM : INTEGER := -1138;
        constant GM : INTEGER := -400;
        constant GM : INTEGER := -400;
 
 
 
  type T_ROM1DATAO  is array(0 to 8) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
 
  type T_ROM1ADDRO  is array(0 to 8) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
 
 
 
  type T_ROM2DATAO  is array(0 to 10) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
 
  type T_ROM2ADDRO  is array(0 to 10) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
 
 
 
 
end MDCT_PKG;
end MDCT_PKG;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.