OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [source/] [testbench/] [MDCT_TB.VHD] - Diff between revs 2 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 15
Line 43... Line 43...
                clk          : in STD_LOGIC;
                clk          : in STD_LOGIC;
                rst          : in std_logic;
                rst          : in std_logic;
    dcti         : in std_logic_vector(IP_W-1 downto 0);
    dcti         : in std_logic_vector(IP_W-1 downto 0);
    idv          : in STD_LOGIC;
    idv          : in STD_LOGIC;
 
 
    ready        : out STD_LOGIC; -- ready for input data
    fiforead     : out STD_LOGIC; -- ready for input data
    odv          : out STD_LOGIC;
    odv          : out STD_LOGIC;
                dcto         : out std_logic_vector(COE_W-1 downto 0);
                dcto         : out std_logic_vector(COE_W-1 downto 0);
      -- debug
      -- debug
    odv1         : out STD_LOGIC;
    odv1         : out STD_LOGIC;
    dcto1        : out std_logic_vector(OP_W-1 downto 0)
    dcto1        : out std_logic_vector(OP_W-1 downto 0)
Line 87... Line 87...
signal gate_clk_s          : STD_LOGIC;
signal gate_clk_s          : STD_LOGIC;
signal rst_s               : STD_LOGIC;
signal rst_s               : STD_LOGIC;
signal dcti_s              : STD_LOGIC_VECTOR(IP_W-1 downto 0);
signal dcti_s              : STD_LOGIC_VECTOR(IP_W-1 downto 0);
signal idv_s               : STD_LOGIC;
signal idv_s               : STD_LOGIC;
 
 
signal ready_s             : STD_LOGIC;
signal fiforead_s          : STD_LOGIC;
signal odv_s               : STD_LOGIC;
signal odv_s               : STD_LOGIC;
signal dcto_s              : STD_LOGIC_VECTOR(COE_W-1 downto 0);
signal dcto_s              : STD_LOGIC_VECTOR(COE_W-1 downto 0);
signal odv1_s              : STD_LOGIC;
signal odv1_s              : STD_LOGIC;
signal dcto1_s             : STD_LOGIC_VECTOR(OP_W-1 downto 0);
signal dcto1_s             : STD_LOGIC_VECTOR(OP_W-1 downto 0);
signal testend_s           : BOOLEAN;
signal testend_s           : BOOLEAN;
Line 108... Line 108...
                  clk          => clk_s,
                  clk          => clk_s,
                  rst          => rst_s,
                  rst          => rst_s,
      dcti         => dcti_s,
      dcti         => dcti_s,
      idv          => idv_s,
      idv          => idv_s,
 
 
      ready        => ready_s,
      fiforead     => fiforead_s,
      odv          => odv_s,
      odv          => odv_s,
      dcto         => dcto_s,
      dcto         => dcto_s,
      odv1         => odv1_s,
      odv1         => odv1_s,
      dcto1        => dcto1_s
      dcto1        => dcto1_s
                );
                );
Line 132... Line 132...
-- Input image generator
-- Input image generator
------------------------------
------------------------------
U_INPIMAGE : INPIMAGE
U_INPIMAGE : INPIMAGE
  port map (
  port map (
        clk       => clk_s,
        clk       => clk_s,
        ready     => ready_s,
        ready     => fiforead_s,
        odv1      => odv1_s,
        odv1      => odv1_s,
        dcto1     => dcto1_s,
        dcto1     => dcto1_s,
        odv       => odv_s,
        odv       => odv_s,
        dcto      => dcto_s,
        dcto      => dcto_s,
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.