URL
https://opencores.org/ocsvn/minsoc/minsoc/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 4 |
Rev 8 |
Line 47... |
Line 47... |
//
|
//
|
reg [7:0] program_mem[(1<<(`MEMORY_ADR_WIDTH+2))-1:0];
|
reg [7:0] program_mem[(1<<(`MEMORY_ADR_WIDTH+2))-1:0];
|
integer initialize, final, ptr;
|
integer initialize, final, ptr;
|
reg [8*64:0] file_name;
|
reg [8*64:0] file_name;
|
reg load_file;
|
reg load_file;
|
|
|
initial begin
|
initial begin
|
|
reset = 1'b0;
|
|
clock = 1'b0;
|
|
|
load_file = 1'b0;
|
load_file = 1'b0;
|
`ifdef INITIALIZE_MEMORY_MODEL
|
`ifdef INITIALIZE_MEMORY_MODEL
|
load_file = 1'b1;
|
load_file = 1'b1;
|
`endif
|
`endif
|
`ifdef START_UP
|
`ifdef START_UP
|
load_file = 1'b1;
|
load_file = 1'b1;
|
`endif
|
`endif
|
|
|
//get firmware hex file from command line input
|
//get firmware hex file from command line input
|
if ( load_file ) begin
|
if ( load_file ) begin
|
if ( ! $value$plusargs("file_name=%s", file_name) || file_name == 0 ) begin
|
if ( ! $value$plusargs("file_name=%s", file_name) || file_name == 0 ) begin
|
$display("ERROR: please specify an input file to start.");
|
$display("ERROR: please specify an input file to start.");
|
$finish;
|
$finish;
|
Line 209... |
Line 214... |
);
|
);
|
`endif // !ETHERNET
|
`endif // !ETHERNET
|
|
|
|
|
//
|
//
|
// Regular clocking, reset and output
|
// Regular clocking and output
|
//
|
//
|
initial begin
|
|
clock <= 1'b0;
|
|
reset <= 1'b0;
|
|
|
|
end
|
|
|
|
always begin
|
always begin
|
#((`CLK_PERIOD)/2) clock <= ~clock;
|
#((`CLK_PERIOD)/2) clock <= ~clock;
|
end
|
end
|
|
|
|
|
`ifdef VCD_OUTPUT
|
`ifdef VCD_OUTPUT
|
initial begin
|
initial begin
|
$dumpfile("../results/minsoc_wave.vcd");
|
$dumpfile("../results/minsoc_wave.vcd");
|
$dumpvars();
|
$dumpvars();
|
end
|
end
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.