OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [branches/] [avendor/] [rtl/] [verilog/] [mips_core.v] - Diff between revs 10 and 35

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 35
Line 1... Line 1...
`include "include.h"
/******************************************************************
 
 *                                                                *
 
 *    Author: Liwei                                               *
 
 *                                                                *
 
 *    This file is part of the "mips789" project.                 *
 
 *    Downloaded from:                                            *
 
 *    http://www.opencores.org/pdownloads.cgi/list/mips789        *
 
 *                                                                *
 
 *    If you encountered any problem, please contact me via       *
 
 *    Email:mcupro@opencores.org  or mcupro@163.com               *
 
 *                                                                *
 
 ******************************************************************/
 
 
 
`include "mips789_defs.v"
 
 
module mips_core (
module mips_core (
        clk,irq_i,rst,cop_dout,irq_addr,
        clk,irq_i,rst,cop_dout,irq_addr,
        zz_din,zz_ins_i,iack_o,cop_addr_o,
        zz_din,zz_ins_i,iack_o,cop_addr_o,
        cop_data_o,cop_mem_ctl_o,zz_addr_o,
        cop_data_o,cop_mem_ctl_o,zz_addr_o,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.