URL
https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 34 |
Rev 42 |
Line 45... |
Line 45... |
port
|
port
|
(
|
(
|
CLK : in std_logic;
|
CLK : in std_logic;
|
RST : in std_logic;
|
RST : in std_logic;
|
|
|
|
-- output IF
|
|
outif_almost_full : in std_logic;
|
|
|
-- HOST IF
|
-- HOST IF
|
sof : in std_logic;
|
sof : in std_logic;
|
img_size_x : in std_logic_vector(15 downto 0);
|
img_size_x : in std_logic_vector(15 downto 0);
|
img_size_y : in std_logic_vector(15 downto 0);
|
img_size_y : in std_logic_vector(15 downto 0);
|
jpeg_ready : out std_logic;
|
jpeg_ready : out std_logic;
|
Line 173... |
Line 176... |
-- state out
|
-- state out
|
fsm_o => fsm(i)
|
fsm_o => fsm(i)
|
);
|
);
|
end generate G_S_CTRL_SM;
|
end generate G_S_CTRL_SM;
|
|
|
idle(NUM_STAGES+1) <= '1';
|
idle(NUM_STAGES+1) <= not outif_almost_full;
|
|
|
-------------------------------------------------------------------
|
-------------------------------------------------------------------
|
-- Regs
|
-- Regs
|
-------------------------------------------------------------------
|
-------------------------------------------------------------------
|
G_REG_SM : for i in 1 to NUM_STAGES generate
|
G_REG_SM : for i in 1 to NUM_STAGES generate
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.