OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [common/] [JPEG_PKG.vhd] - Diff between revs 63 and 67

Show entire file | Details | Blame | View Log

Rev 63 Rev 67
Line 30... Line 30...
  constant C_HDR_SIZE         : integer := 623;
  constant C_HDR_SIZE         : integer := 623;
 
 
  -- warning! this parameter heavily affects memory size required
  -- warning! this parameter heavily affects memory size required
  -- if expected image width is known change this parameter to match this
  -- if expected image width is known change this parameter to match this
  -- otherwise some onchip RAM will be wasted and never used
  -- otherwise some onchip RAM will be wasted and never used
  constant C_MAX_LINE_WIDTH   : integer := 2048;
  constant C_MAX_LINE_WIDTH   : integer := 640;
 
 
  -- memory/performance tradeoff
  -- memory/performance tradeoff
  -- 8 extra lines highest performance
  -- 8 extra lines highest performance
  -- 0 extra lines lowest area
  -- 0 extra lines lowest area
  constant C_EXTRA_LINES  : integer := 8; -- from 0 to 8
  --constant C_EXTRA_LINES  : integer := 0; -- from 0 to 8
 
 
 
 
  -- 24 bit format RGB/YCbCr 888 bits
  -- 24 bit format RGB/YCbCr 888 bits
  -- 16 bit format RGB/YCbCr 565 bits
  -- 16 bit format RGB/YCbCr 565 bits
  constant C_PIXEL_BITS    : integer := 24;
  constant C_PIXEL_BITS    : integer := 24;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.