URL
https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk
[/] [mkjpeg/] [trunk/] [design/] [hostif/] [HostIF.vhd] - Diff between revs 32 and 61
Show entire file |
Details |
Blame |
View Log
Rev 32 |
Rev 61 |
Line 54... |
Line 54... |
|
|
-- others
|
-- others
|
img_size_x : out std_logic_vector(15 downto 0);
|
img_size_x : out std_logic_vector(15 downto 0);
|
img_size_y : out std_logic_vector(15 downto 0);
|
img_size_y : out std_logic_vector(15 downto 0);
|
img_size_wr : out std_logic;
|
img_size_wr : out std_logic;
|
sof : out std_logic;
|
sof : out std_logic
|
cmp_max : out std_logic_vector(1 downto 0)
|
|
|
|
);
|
);
|
end entity HostIF;
|
end entity HostIF;
|
|
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
Line 108... |
Line 107... |
img_size_x <= image_size_reg(31 downto 16);
|
img_size_x <= image_size_reg(31 downto 16);
|
img_size_y <= image_size_reg(15 downto 0);
|
img_size_y <= image_size_reg(15 downto 0);
|
|
|
outram_base_addr <= cod_data_addr_reg(outram_base_addr'range);
|
outram_base_addr <= cod_data_addr_reg(outram_base_addr'range);
|
|
|
cmp_max <= enc_start_reg(2 downto 1);
|
|
|
|
-------------------------------------------------------------------
|
-------------------------------------------------------------------
|
-- OPB read
|
-- OPB read
|
-------------------------------------------------------------------
|
-------------------------------------------------------------------
|
p_read : process(CLK, RST)
|
p_read : process(CLK, RST)
|
begin
|
begin
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.