URL
https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk
[/] [mkjpeg/] [trunk/] [design/] [mdct/] [DCT2D.VHD] - Diff between revs 25 and 67
Show entire file |
Details |
Blame |
View Log
Rev 25 |
Rev 67 |
Line 87... |
Line 87... |
signal romodatao_d2 : T_ROM2DATAO;
|
signal romodatao_d2 : T_ROM2DATAO;
|
signal romedatao_d3 : T_ROM2DATAO;
|
signal romedatao_d3 : T_ROM2DATAO;
|
signal romodatao_d3 : T_ROM2DATAO;
|
signal romodatao_d3 : T_ROM2DATAO;
|
signal romedatao_d4 : T_ROM2DATAO;
|
signal romedatao_d4 : T_ROM2DATAO;
|
signal romodatao_d4 : T_ROM2DATAO;
|
signal romodatao_d4 : T_ROM2DATAO;
|
|
|
|
signal odv_s : std_logic;
|
|
signal dcto_s : std_logic_vector(OP_W-1 downto 0);
|
|
|
|
component FinitePrecRndNrst is
|
|
generic
|
|
(
|
|
C_IN_SZ : natural := 37;
|
|
C_OUT_SZ : natural := 16;
|
|
C_FRAC_SZ : natural := 15
|
|
);
|
|
port (
|
|
CLK : in std_logic;
|
|
RST : in std_logic;
|
|
datain : in STD_LOGIC_VECTOR(C_IN_SZ-1 downto 0);
|
|
dataval : in std_logic;
|
|
dataout : out STD_LOGIC_VECTOR(C_OUT_SZ-1 downto 0);
|
|
|
|
clip_inc : out std_logic;
|
|
dval_out : out std_logic
|
|
);
|
|
end component;
|
|
|
begin
|
begin
|
|
|
ramraddro_sg:
|
ramraddro_sg:
|
ramraddro <= STD_LOGIC_VECTOR(rowr_reg & colr_reg);
|
ramraddro <= STD_LOGIC_VECTOR(rowr_reg & colr_reg);
|
|
|
Line 301... |
Line 324... |
DA2_W));
|
DA2_W));
|
end if;
|
end if;
|
end if;
|
end if;
|
end process;
|
end process;
|
|
|
dcto <= dcto_5(DA2_W-1 downto 12);
|
dcto <= dcto_s;
|
odv <= odv_d5;
|
odv <= odv_s;
|
|
|
|
U_FinitePrecRndNrst : FinitePrecRndNrst
|
|
generic map(
|
|
C_IN_SZ => DA2_W,
|
|
C_OUT_SZ => DA2_W-12,
|
|
C_FRAC_SZ => 12
|
|
)
|
|
port map(
|
|
CLK => clk,
|
|
RST => rst,
|
|
|
|
datain => dcto_5,
|
|
dataval => odv_d5,
|
|
dataout => dcto_s,
|
|
|
|
clip_inc => open,
|
|
dval_out => odv_s
|
|
);
|
|
|
p_romaddr : process(CLK, RST)
|
p_romaddr : process(CLK, RST)
|
begin
|
begin
|
if RST = '1' then
|
if RST = '1' then
|
romeaddro <= (others => (others => '0'));
|
romeaddro <= (others => (others => '0'));
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.