Line 102... |
Line 102... |
signal rd_addr : std_logic_vector(31 downto 0);
|
signal rd_addr : std_logic_vector(31 downto 0);
|
signal input_rd_cnt : unsigned(5 downto 0);
|
signal input_rd_cnt : unsigned(5 downto 0);
|
signal rd_en : std_logic;
|
signal rd_en : std_logic;
|
signal rd_en_d1 : std_logic;
|
signal rd_en_d1 : std_logic;
|
signal rdaddr : unsigned(31 downto 0);
|
signal rdaddr : unsigned(31 downto 0);
|
signal bf_dval : std_logic_vector(2 downto 0);
|
signal bf_dval : std_logic_vector(3 downto 0);
|
signal wr_cnt : unsigned(5 downto 0);
|
signal wr_cnt : unsigned(5 downto 0);
|
signal dbuf_data : std_logic_vector(11 downto 0);
|
signal dbuf_data : std_logic_vector(11 downto 0);
|
signal dbuf_q : std_logic_vector(11 downto 0);
|
signal dbuf_q : std_logic_vector(11 downto 0);
|
signal dbuf_we : std_logic;
|
signal dbuf_we : std_logic;
|
signal dbuf_waddr : std_logic_vector(6 downto 0);
|
signal dbuf_waddr : std_logic_vector(6 downto 0);
|
Line 164... |
Line 164... |
signal fram1_data : std_logic_vector(23 downto 0);
|
signal fram1_data : std_logic_vector(23 downto 0);
|
signal fram1_q : std_logic_vector(23 downto 0);
|
signal fram1_q : std_logic_vector(23 downto 0);
|
signal fram1_we : std_logic;
|
signal fram1_we : std_logic;
|
signal fram1_waddr : std_logic_vector(5 downto 0);
|
signal fram1_waddr : std_logic_vector(5 downto 0);
|
signal fram1_raddr : std_logic_vector(5 downto 0);
|
signal fram1_raddr : std_logic_vector(5 downto 0);
|
signal fram1_rd_d : std_logic_vector(7 downto 0);
|
signal fram1_rd_d : std_logic_vector(8 downto 0);
|
signal fram1_rd : std_logic;
|
signal fram1_rd : std_logic;
|
signal bf_fifo_empty_d1 : std_logic;
|
signal bf_fifo_empty_d1 : std_logic;
|
signal rd_started : std_logic;
|
signal rd_started : std_logic;
|
signal writing_en : std_logic;
|
signal writing_en : std_logic;
|
|
|
Line 324... |
Line 324... |
-- FRAM read enable
|
-- FRAM read enable
|
fram1_rd <= '1';
|
fram1_rd <= '1';
|
end if;
|
end if;
|
|
|
-- increment FRAM1 read address
|
-- increment FRAM1 read address
|
if fram1_rd_d(3) = '1' then
|
if fram1_rd_d(4) = '1' then
|
fram1_raddr <= std_logic_vector(unsigned(fram1_raddr) + 1);
|
fram1_raddr <= std_logic_vector(unsigned(fram1_raddr) + 1);
|
end if;
|
end if;
|
|
|
end if;
|
end if;
|
end process;
|
end process;
|
Line 347... |
Line 347... |
dcto => mdct_data_out,
|
dcto => mdct_data_out,
|
odv1 => odv1,
|
odv1 => odv1,
|
dcto1 => dcto1
|
dcto1 => dcto1
|
);
|
);
|
|
|
mdct_idval <= fram1_rd_d(7);
|
mdct_idval <= fram1_rd_d(8);
|
|
|
R_s <= signed('0' & fram1_q(7 downto 0));
|
R_s <= signed('0' & fram1_q(7 downto 0));
|
G_s <= signed('0' & fram1_q(15 downto 8));
|
G_s <= signed('0' & fram1_q(15 downto 8));
|
B_s <= signed('0' & fram1_q(23 downto 16));
|
B_s <= signed('0' & fram1_q(23 downto 16));
|
|
|