Line 144... |
Line 144... |
signal jfif_ready : std_logic;
|
signal jfif_ready : std_logic;
|
signal bs_ram_byte : std_logic_vector(7 downto 0);
|
signal bs_ram_byte : std_logic_vector(7 downto 0);
|
signal bs_ram_wren : std_logic;
|
signal bs_ram_wren : std_logic;
|
signal bs_ram_wraddr : std_logic_vector(23 downto 0);
|
signal bs_ram_wraddr : std_logic_vector(23 downto 0);
|
signal jfif_eoi : std_logic;
|
signal jfif_eoi : std_logic;
|
signal fdct_block_cnt : std_logic_vector(12 downto 0);
|
|
signal fdct_fifo_rd : std_logic;
|
signal fdct_fifo_rd : std_logic;
|
signal fdct_fifo_empty : std_logic;
|
|
signal fdct_fifo_q : std_logic_vector(23 downto 0);
|
signal fdct_fifo_q : std_logic_vector(23 downto 0);
|
signal fdct_fifo_hf_full : std_logic;
|
signal fdct_fifo_hf_full : std_logic;
|
|
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- Architecture: begin
|
-- Architecture: begin
|
Line 215... |
Line 213... |
iram_wren => iram_wren,
|
iram_wren => iram_wren,
|
iram_wdata => iram_wdata,
|
iram_wdata => iram_wdata,
|
fifo_almost_full => iram_fifo_afull,
|
fifo_almost_full => iram_fifo_afull,
|
|
|
-- FDCT
|
-- FDCT
|
fdct_block_cnt => fdct_block_cnt,
|
|
fdct_fifo_rd => fdct_fifo_rd,
|
fdct_fifo_rd => fdct_fifo_rd,
|
fdct_fifo_empty => fdct_fifo_empty,
|
|
fdct_fifo_q => fdct_fifo_q,
|
fdct_fifo_q => fdct_fifo_q,
|
fdct_fifo_hf_full => fdct_fifo_hf_full
|
fdct_fifo_hf_full => fdct_fifo_hf_full
|
);
|
);
|
|
|
-------------------------------------------------------------------
|
-------------------------------------------------------------------
|
Line 295... |
Line 291... |
start_pb => fdct_start,
|
start_pb => fdct_start,
|
ready_pb => fdct_ready,
|
ready_pb => fdct_ready,
|
fdct_sm_settings => fdct_sm_settings,
|
fdct_sm_settings => fdct_sm_settings,
|
|
|
-- BUF_FIFO
|
-- BUF_FIFO
|
bf_block_cnt => fdct_block_cnt,
|
|
bf_fifo_rd => fdct_fifo_rd,
|
bf_fifo_rd => fdct_fifo_rd,
|
bf_fifo_empty => fdct_fifo_empty,
|
|
bf_fifo_q => fdct_fifo_q,
|
bf_fifo_q => fdct_fifo_q,
|
bf_fifo_hf_full => fdct_fifo_hf_full,
|
bf_fifo_hf_full => fdct_fifo_hf_full,
|
|
|
-- ZIG ZAG
|
-- ZIG ZAG
|
zz_buf_sel => zz_buf_sel,
|
zz_buf_sel => zz_buf_sel,
|