Line 131... |
Line 131... |
signal zig_sm_settings : T_SM_SETTINGS;
|
signal zig_sm_settings : T_SM_SETTINGS;
|
signal qua_sm_settings : T_SM_SETTINGS;
|
signal qua_sm_settings : T_SM_SETTINGS;
|
signal rle_sm_settings : T_SM_SETTINGS;
|
signal rle_sm_settings : T_SM_SETTINGS;
|
signal huf_sm_settings : T_SM_SETTINGS;
|
signal huf_sm_settings : T_SM_SETTINGS;
|
signal bs_sm_settings : T_SM_SETTINGS;
|
signal bs_sm_settings : T_SM_SETTINGS;
|
signal cmp_max : std_logic_vector(1 downto 0);
|
|
signal image_size_reg : std_logic_vector(31 downto 0);
|
signal image_size_reg : std_logic_vector(31 downto 0);
|
signal jfif_ram_byte : std_logic_vector(7 downto 0);
|
signal jfif_ram_byte : std_logic_vector(7 downto 0);
|
signal jfif_ram_wren : std_logic;
|
signal jfif_ram_wren : std_logic;
|
signal jfif_ram_wraddr : std_logic_vector(23 downto 0);
|
signal jfif_ram_wraddr : std_logic_vector(23 downto 0);
|
signal out_mux_ctrl : std_logic;
|
signal out_mux_ctrl : std_logic;
|
Line 190... |
Line 189... |
|
|
-- global
|
-- global
|
img_size_x => img_size_x,
|
img_size_x => img_size_x,
|
img_size_y => img_size_y,
|
img_size_y => img_size_y,
|
img_size_wr => img_size_wr,
|
img_size_wr => img_size_wr,
|
sof => sof,
|
sof => sof
|
cmp_max => cmp_max
|
|
);
|
);
|
|
|
-------------------------------------------------------------------
|
-------------------------------------------------------------------
|
-- BUF_FIFO
|
-- BUF_FIFO
|
-------------------------------------------------------------------
|
-------------------------------------------------------------------
|
Line 236... |
Line 234... |
sof => sof,
|
sof => sof,
|
img_size_x => img_size_x,
|
img_size_x => img_size_x,
|
img_size_y => img_size_y,
|
img_size_y => img_size_y,
|
jpeg_ready => jpeg_ready,
|
jpeg_ready => jpeg_ready,
|
jpeg_busy => jpeg_busy,
|
jpeg_busy => jpeg_busy,
|
cmp_max => cmp_max,
|
|
|
|
-- FDCT
|
-- FDCT
|
fdct_start => fdct_start,
|
fdct_start => fdct_start,
|
fdct_ready => fdct_ready,
|
fdct_ready => fdct_ready,
|
fdct_sm_settings => fdct_sm_settings,
|
fdct_sm_settings => fdct_sm_settings,
|
Line 409... |
Line 406... |
|
|
-- HOST IF
|
-- HOST IF
|
sof => sof,
|
sof => sof,
|
img_size_x => img_size_x,
|
img_size_x => img_size_x,
|
img_size_y => img_size_y,
|
img_size_y => img_size_y,
|
cmp_max => cmp_max,
|
|
|
|
-- RLE
|
-- RLE
|
rle_buf_sel => huf_buf_sel,
|
rle_buf_sel => huf_buf_sel,
|
rd_en => huf_rden,
|
rd_en => huf_rden,
|
runlength => huf_runlength,
|
runlength => huf_runlength,
|