Line 269... |
Line 269... |
iram_wdata <= (others => 'X');
|
iram_wdata <= (others => 'X');
|
while(fifo_almost_full = '1') loop
|
while(fifo_almost_full = '1') loop
|
wait until rising_edge(clk);
|
wait until rising_edge(clk);
|
end loop;
|
end loop;
|
|
|
--for i in 0 to 9 loop
|
--for i in 0 to 4 loop
|
-- wait until rising_edge(clk);
|
-- wait until rising_edge(clk);
|
--end loop;
|
--end loop;
|
|
|
iram_wren <= '1';
|
iram_wren <= '1';
|
iram_wdata <= std_logic_vector(data_word2(C_PIXEL_BITS-1 downto 0));
|
iram_wdata <= std_logic_vector(data_word2(C_PIXEL_BITS-1 downto 0));
|
Line 377... |
Line 377... |
|
|
--X"08", X"06", X"06", X"07", X"06", X"05", X"08", X"07", X"07", X"07", X"09", X"09", X"08", X"0A", X"0C", X"14",
|
--X"08", X"06", X"06", X"07", X"06", X"05", X"08", X"07", X"07", X"07", X"09", X"09", X"08", X"0A", X"0C", X"14",
|
--X"0D", X"0C", X"0B", X"0B", X"0C", X"19", X"12", X"13", X"0F", X"14", X"1D", X"1A", X"1F", X"1E", X"1D", X"1A",
|
--X"0D", X"0C", X"0B", X"0B", X"0C", X"19", X"12", X"13", X"0F", X"14", X"1D", X"1A", X"1F", X"1E", X"1D", X"1A",
|
--X"1C", X"1C", X"20", X"24", X"2E", X"27", X"20", X"22", X"2C", X"23", X"1C", X"1C", X"28", X"37", X"29", X"2C",
|
--X"1C", X"1C", X"20", X"24", X"2E", X"27", X"20", X"22", X"2C", X"23", X"1C", X"1C", X"28", X"37", X"29", X"2C",
|
--X"30", X"31", X"34", X"34", X"34", X"1F", X"27", X"39", X"3D", X"38", X"32", X"3C", X"2E", X"33", X"34", X"32"
|
--X"30", X"31", X"34", X"34", X"34", X"1F", X"27", X"39", X"3D", X"38", X"32", X"3C", X"2E", X"33", X"34", X"32"
|
|
|
--others => X"01"
|
--others => X"01"
|
);
|
);
|
|
|
variable data_read : unsigned(31 downto 0);
|
variable data_read : unsigned(31 downto 0);
|
variable data_write : unsigned(31 downto 0);
|
variable data_write : unsigned(31 downto 0);
|