Line 72... |
Line 72... |
signal iram_rdata : std_logic_vector(23 downto 0);
|
signal iram_rdata : std_logic_vector(23 downto 0);
|
signal iram_wren : std_logic;
|
signal iram_wren : std_logic;
|
signal iram_rden : std_logic;
|
signal iram_rden : std_logic;
|
signal sim_done : std_logic;
|
signal sim_done : std_logic;
|
signal iram_fifo_afull : std_logic;
|
signal iram_fifo_afull : std_logic;
|
|
signal outif_almost_full : std_logic;
|
|
signal count1 : unsigned(15 downto 0);
|
------------------------------
|
------------------------------
|
-- architecture begin
|
-- architecture begin
|
------------------------------
|
------------------------------
|
begin
|
begin
|
|
|
Line 148... |
Line 149... |
iram_fifo_afull => iram_fifo_afull,
|
iram_fifo_afull => iram_fifo_afull,
|
|
|
-- OUT RAM
|
-- OUT RAM
|
ram_byte => ram_byte,
|
ram_byte => ram_byte,
|
ram_wren => ram_wren,
|
ram_wren => ram_wren,
|
ram_wraddr => ram_wraddr
|
ram_wraddr => ram_wraddr,
|
|
outif_almost_full => outif_almost_full
|
);
|
);
|
|
|
-------------------------------------------------------------------
|
-------------------------------------------------------------------
|
-- OUT RAM
|
-- OUT RAM
|
-------------------------------------------------------------------
|
-------------------------------------------------------------------
|
Line 201... |
Line 203... |
|
|
wait;
|
wait;
|
end process;
|
end process;
|
|
|
|
|
|
backpressure : process(CLK, RST)
|
|
begin
|
|
if RST = '1' then
|
|
outif_almost_full <= '0';
|
|
count1 <= (others => '0');
|
|
elsif CLK'event and CLK = '1' then
|
|
if count1 = 10000 then
|
|
count1 <= (others => '0');
|
|
outif_almost_full <= not outif_almost_full;
|
|
else
|
|
count1 <= count1 + 1;
|
|
end if;
|
|
end if;
|
|
end process;
|
|
|
end TB;
|
end TB;
|
-----------------------------------
|
-----------------------------------
|
|
|
|
|
--**************************************************************************--
|
--**************************************************************************--
|