URL
https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk
[/] [mkjpeg/] [trunk/] [tb/] [vhdl/] [JPEG_TB.VHD] - Diff between revs 42 and 49
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 42 |
Rev 49 |
Line 30... |
Line 30... |
|
|
library work;
|
library work;
|
use work.GPL_V2_Image_Pkg.ALL;
|
use work.GPL_V2_Image_Pkg.ALL;
|
use WORK.MDCT_PKG.all;
|
use WORK.MDCT_PKG.all;
|
use WORK.MDCTTB_PKG.all;
|
use WORK.MDCTTB_PKG.all;
|
|
use work.JPEG_PKG.all;
|
|
|
entity JPEG_TB is
|
entity JPEG_TB is
|
end JPEG_TB;
|
end JPEG_TB;
|
|
|
--**************************************************************************--
|
--**************************************************************************--
|
Line 66... |
Line 67... |
signal OPB_retry : std_logic;
|
signal OPB_retry : std_logic;
|
signal OPB_toutSup : std_logic;
|
signal OPB_toutSup : std_logic;
|
signal OPB_errAck : std_logic;
|
signal OPB_errAck : std_logic;
|
signal iram_waddr : std_logic_vector(19 downto 0);
|
signal iram_waddr : std_logic_vector(19 downto 0);
|
signal iram_raddr : std_logic_vector(19 downto 0);
|
signal iram_raddr : std_logic_vector(19 downto 0);
|
signal iram_wdata : std_logic_vector(23 downto 0);
|
signal iram_wdata : std_logic_vector(C_PIXEL_BITS-1 downto 0);
|
signal iram_rdata : std_logic_vector(23 downto 0);
|
signal iram_rdata : std_logic_vector(C_PIXEL_BITS-1 downto 0);
|
signal iram_wren : std_logic;
|
signal iram_wren : std_logic;
|
signal iram_rden : std_logic;
|
signal iram_rden : std_logic;
|
signal sim_done : std_logic;
|
signal sim_done : std_logic;
|
signal iram_fifo_afull : std_logic;
|
signal iram_fifo_afull : std_logic;
|
signal outif_almost_full : std_logic;
|
signal outif_almost_full : std_logic;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.