OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [vhdl/] [JPEG_TB.VHD] - Diff between revs 49 and 51

Show entire file | Details | Blame | View Log

Rev 49 Rev 51
Line 210... Line 210...
  begin
  begin
    if RST = '1' then
    if RST = '1' then
      outif_almost_full <= '0';
      outif_almost_full <= '0';
      count1 <= (others => '0');
      count1 <= (others => '0');
    elsif CLK'event and CLK = '1' then
    elsif CLK'event and CLK = '1' then
      if count1 = 10000 then
      --if count1 = 10000 then
        count1 <= (others => '0');
      --  count1 <= (others => '0');
        outif_almost_full <= not outif_almost_full;
      --  outif_almost_full <= not outif_almost_full;
      else
      --else
        count1 <= count1 + 1;
      --  count1 <= count1 + 1;
      end if;
      --end if;
    end if;
    end if;
  end process;
  end process;
 
 
end TB;
end TB;
-----------------------------------
-----------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.