OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [tags/] [V3_0/] [vhdl/] [reg_bank.vhd] - Diff between revs 88 and 108

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 88 Rev 108
Line 59... Line 59...
      addr_a1 <= rs_index(4 downto 0);
      addr_a1 <= rs_index(4 downto 0);
   end if;
   end if;
   case rs_index is
   case rs_index is
   when "000000" => reg_source_out <= ZERO;
   when "000000" => reg_source_out <= ZERO;
   when "101100" => reg_source_out <= ZERO(31 downto 1) & intr_enable_reg;
   when "101100" => reg_source_out <= ZERO(31 downto 1) & intr_enable_reg;
   when "111111" => reg_source_out <= ZERO(31 downto 8) & "00110000"; --intr vector
   when "111111" => --interrupt vector address = 0x3c 
 
                    reg_source_out <= ZERO(31 downto 8) & "00111100";
   when others   => reg_source_out <= data_out1;
   when others   => reg_source_out <= data_out1;
   end case;
   end case;
 
 
   --setup for second dual-port memory
   --setup for second dual-port memory
   addr_a2 <= rt_index(4 downto 0);
   addr_a2 <= rt_index(4 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.