OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [alu.vhd] - Diff between revs 2 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 7
Line 93... Line 93...
      c := a_in nor b_in;
      c := a_in nor b_in;
   when others =>                 --alu_function = alu_nothing
   when others =>                 --alu_function = alu_nothing
      c := ZERO;
      c := ZERO;
   end case;
   end case;
 
 
--   if alu_function = alu_nothing then
 
--      c_alu <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ";
 
--   else
 
      c_alu <= c;
      c_alu <= c;
--   end if;
 
end process;
end process;
 
 
end; --architecture logic
end; --architecture logic
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.