OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [bus_mux.vhd] - Diff between revs 6 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 7
Line 85... Line 85...
end process;
end process;
 
 
cmux: process(c_bus, c_memory, c_pc, c_pc_plus4, imm_in, c_mux)
cmux: process(c_bus, c_memory, c_pc, c_pc_plus4, imm_in, c_mux)
begin
begin
   case c_mux is
   case c_mux is
   when c_from_alu | c_from_shift | c_from_mult =>
   when c_from_alu =>           -- | c_from_shift | c_from_mult =>
      reg_dest_out <= c_bus;
      reg_dest_out <= c_bus;
   when c_from_memory =>
   when c_from_memory =>
      reg_dest_out <= c_memory;
      reg_dest_out <= c_memory;
   when c_from_pc =>
   when c_from_pc =>
      reg_dest_out <= c_pc(31 downto 3) & "000"; --backup one opcode
      reg_dest_out <= c_pc(31 downto 3) & "000"; --backup one opcode

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.