OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [mem_ctrl.vhd] - Diff between revs 128 and 129

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 128 Rev 129
Line 88... Line 88...
         next_opcode_reg <= mem_data_r;
         next_opcode_reg <= mem_data_r;
      end if;
      end if;
   end if;
   end if;
end process;
end process;
 
 
GEN_REGS2: process(clk, address_data, write_next_sig, byte_sel_next_sig)
GEN_REGS2: process(clk, address_data, write_next_sig, byte_sel_next_sig, reset_in)
begin
begin
   if reset_in = '1' then
   if reset_in = '1' then
      if ACCURATE_TIMING then
      if ACCURATE_TIMING then
         address_reg <= ZERO;
         address_reg <= ZERO;
         write_reg <= '0';
         write_reg <= '0';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.