OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [mem_ctrl.vhd] - Diff between revs 8 and 39

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 39
Line 1... Line 1...
---------------------------------------------------------------------
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
-- DATE CREATED: 1/31/01
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- FILENAME: mem_ctrl.vhd
-- PROJECT: MIPS CPU core
-- PROJECT: M-lite CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- COPYRIGHT: Software placed into the public domain by the author.
--    Software 'as is' without warranty.  Author liable for nothing.
--    Software 'as is' without warranty.  Author liable for nothing.
-- DESCRIPTION:
-- DESCRIPTION:
--    Memory controller for the MIPS CPU.
--    Memory controller for the M-lite CPU.
--    Supports Big or Little Endian mode.
--    Supports Big or Little Endian mode.
--    Four cycles for a write unless a(31)='1' then two cycles.
--    Four cycles for a write unless a(31)='1' then two cycles.
--    This entity could implement interfaces to:
--    This entity could implement interfaces to:
--       Data cache
--       Data cache
--       Address cache
--       Address cache
--       Memory management unit (MMU)
--       Memory management unit (MMU)
--       DRAM controller
--       DRAM controller
---------------------------------------------------------------------
---------------------------------------------------------------------
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use work.mips_pack.all;
use work.mlite_pack.all;
 
 
entity mem_ctrl is
entity mem_ctrl is
   port(clk          : in std_logic;
   port(clk          : in std_logic;
        reset_in     : in std_logic;
        reset_in     : in std_logic;
        pause_in     : in std_logic;
        pause_in     : in std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.