OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [mlite_pack.vhd] - Diff between revs 139 and 194

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 139 Rev 194
Line 256... Line 256...
           branch_func  : out branch_function_type;
           branch_func  : out branch_function_type;
           a_source_out : out a_source_type;
           a_source_out : out a_source_type;
           b_source_out : out b_source_type;
           b_source_out : out b_source_type;
           c_source_out : out c_source_type;
           c_source_out : out c_source_type;
           pc_source_out: out pc_source_type;
           pc_source_out: out pc_source_type;
           mem_source_out:out mem_source_type);
           mem_source_out:out mem_source_type;
 
           exception_out: out std_logic);
   end component;
   end component;
 
 
   component reg_bank
   component reg_bank
      generic(memory_type : string := "XILINX_16X");
      generic(memory_type : string := "XILINX_16X");
      port(clk            : in  std_logic;
      port(clk            : in  std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.