URL
https://opencores.org/ocsvn/mlite/mlite/trunk
[/] [mlite/] [trunk/] [vhdl/] [mlite_pack.vhd] - Diff between revs 91 and 96
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 91 |
Rev 96 |
Line 341... |
Line 341... |
mem_source : in mem_source_type;
|
mem_source : in mem_source_type;
|
a_source : in a_source_type;
|
a_source : in a_source_type;
|
b_source : in b_source_type;
|
b_source : in b_source_type;
|
c_source : in c_source_type;
|
c_source : in c_source_type;
|
c_bus : in std_logic_vector(31 downto 0);
|
c_bus : in std_logic_vector(31 downto 0);
|
take_branch : in std_logic;
|
|
take_branchD : out std_logic;
|
|
pause_any : in std_logic;
|
pause_any : in std_logic;
|
pause_pipeline : out std_logic);
|
pause_pipeline : out std_logic);
|
end component;
|
end component;
|
|
|
component mlite_cpu
|
component mlite_cpu
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.