OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [reg_bank.vhd] - Diff between revs 123 and 128

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 123 Rev 128
Line 42... Line 42...
 
 
   --controls access to dual-port memories
   --controls access to dual-port memories
   signal addr_a1, addr_a2, addr_b : std_logic_vector(4 downto 0);
   signal addr_a1, addr_a2, addr_b : std_logic_vector(4 downto 0);
   signal data_out1, data_out2     : std_logic_vector(31 downto 0);
   signal data_out1, data_out2     : std_logic_vector(31 downto 0);
   signal write_enable             : std_logic;
   signal write_enable             : std_logic;
--   signal sig_false                : std_logic := '0';
 
--   signal sig_true                 : std_logic := '1';
 
--   signal zero_sig                 : std_logic_vector(15 downto 0) := ZERO(15 downto 0);
 
 
 
begin
begin
 
 
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
      intr_enable_reg, data_out1, data_out2, reset_in, pause)
      intr_enable_reg, data_out1, data_out2, reset_in, pause)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.