OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [tbench.vhd] - Diff between revs 132 and 139

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 132 Rev 139
Line 16... Line 16...
entity tbench is
entity tbench is
end; --entity tbench
end; --entity tbench
 
 
architecture logic of tbench is
architecture logic of tbench is
   constant memory_type : string :=
   constant memory_type : string :=
   "DEFAULT";
   "TRI_PORT_X";
--   "ALTERA";
--   "DUAL_PORT_";
--   "XILINX";
--   "ALTERA_LPM";
 
--   "XILINX_16X";
 
 
   constant log_file  : string :=
   constant log_file  : string :=
--   "UNUSED"
--   "UNUSED";
   "output.txt";
   "output.txt";
 
 
   signal clk         : std_logic := '1';
   signal clk         : std_logic := '1';
   signal reset       : std_logic := '1';
   signal reset       : std_logic := '1';
   signal interrupt   : std_logic := '0';
   signal interrupt   : std_logic := '0';
   signal mem_write   : std_logic;
   signal mem_write   : std_logic;
   signal mem_address : std_logic_vector(31 downto 0);
   signal mem_address : std_logic_vector(31 downto 2);
   signal mem_data    : std_logic_vector(31 downto 0);
   signal mem_data    : std_logic_vector(31 downto 0);
   signal mem_pause   : std_logic := '0';
   signal mem_pause   : std_logic := '0';
   signal mem_byte_sel: std_logic_vector(3 downto 0);
   signal mem_byte_sel: std_logic_vector(3 downto 0);
   signal uart_read   : std_logic;
   --signal uart_read   : std_logic;
   signal uart_write  : std_logic;
   signal uart_write  : std_logic;
 
   signal data_read   : std_logic_vector(31 downto 0);
begin  --architecture
begin  --architecture
 
   --Uncomment the line below to test interrupts
 
   interrupt <= '1' after 20 us when interrupt = '0' else '0' after 445 ns;
 
 
   clk <= not clk after 50 ns;
   clk <= not clk after 50 ns;
   reset <= '0' after 500 ns;
   reset <= '0' after 500 ns;
   uart_read <= '0';
   --mem_pause <= not mem_pause after 100 ns;
 
   --uart_read <= '0';
   --Uncomment the line below to test interrupts
   data_read <= interrupt & ZERO(30 downto 0);
--   interrupt <= '1' after 20 us when interrupt = '0' else '0' after 400 ns;
 
   --Uncomment the line below to test mem_pause
 
--   mem_pause <= '1' after 100 ns when mem_pause = '0' else '0' after 100 ns;
 
 
 
   u1: plasma
   u1_plasma: plasma
      generic map (memory_type => memory_type,
      generic map (memory_type => memory_type,
                   log_file    => log_file)
                   log_file    => log_file)
      PORT MAP (
      PORT MAP (
         clk_in       => clk,
         clk               => clk,
         reset_in     => reset,
         reset             => reset,
         intr_in      => interrupt,
         uart_read         => uart_write,
 
 
         uart_read    => uart_read,
 
         uart_write   => uart_write,
         uart_write   => uart_write,
 
 
         mem_address_out  => mem_address,
         address           => mem_address,
         mem_data         => mem_data,
         data_write        => mem_data,
         mem_byte_sel_out => mem_byte_sel,
         data_read         => data_read,
         mem_write_out    => mem_write,
         write_byte_enable => mem_byte_sel,
         mem_pause_in     => mem_pause);
         mem_pause_in      => mem_pause,
 
 
end; --architecture logic
         gpio0_out         => open,
 
         gpioA_in          => data_read);
 
 
 
end; --architecture logic
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.