OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [tbench.vhd] - Diff between revs 139 and 265

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 139 Rev 265
Line 56... Line 56...
         reset             => reset,
         reset             => reset,
         uart_read         => uart_write,
         uart_read         => uart_write,
         uart_write        => uart_write,
         uart_write        => uart_write,
 
 
         address           => mem_address,
         address           => mem_address,
 
         byte_we           => mem_byte_sel,
         data_write        => mem_data,
         data_write        => mem_data,
         data_read         => data_read,
         data_read         => data_read,
         write_byte_enable => mem_byte_sel,
 
         mem_pause_in      => mem_pause,
         mem_pause_in      => mem_pause,
 
 
         gpio0_out         => open,
         gpio0_out         => open,
         gpioA_in          => data_read);
         gpioA_in          => data_read);
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.