OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [tbench.vhd] - Diff between revs 48 and 51

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 48 Rev 51
Line 16... Line 16...
entity tbench is
entity tbench is
end; --entity tbench
end; --entity tbench
 
 
architecture logic of tbench is
architecture logic of tbench is
   constant memory_type : string :=
   constant memory_type : string :=
--   "GENERIC";
   "GENERIC";
   "ALTERA";
--   "ALTERA";
--   "XILINX";
--   "XILINX";
 
 
   constant log_file  : string :=
   constant log_file  : string :=
--   "UNUSED"
--   "UNUSED"
   "output.txt";
   "output.txt";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.