OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [tbench.vhd] - Diff between revs 51 and 55

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 51 Rev 55
Line 60... Line 60...
         mem_write_out    => mem_write,
         mem_write_out    => mem_write,
         mem_pause_in     => mem_pause);
         mem_pause_in     => mem_pause);
 
 
end; --architecture logic
end; --architecture logic
 
 
 No newline at end of file
 No newline at end of file
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.