OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [tbench.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 65... Line 65...
begin  --architecture
begin  --architecture
   clk <= not clk after 50 ns;
   clk <= not clk after 50 ns;
   reset <= '0' after 100 ns;
   reset <= '0' after 100 ns;
   mem_pause <= '0';
   mem_pause <= '0';
 
 
 
   --Uncomment the line below to test interrupts
 
-- interrupt <= '1' after 10000 ns when interrupt = '0' else '0' after 600 ns;
 
 
   u1: mips_cpu PORT MAP (
   u1: mips_cpu PORT MAP (
        clk          => clk,
        clk          => clk,
        reset_in     => reset,
        reset_in     => reset,
        intr_in      => interrupt,
        intr_in      => interrupt,
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.