OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [tbench.vhd] - Diff between revs 7 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 7 Rev 8
Line 12... Line 12...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use work.mips_pack.all;
use work.mips_pack.all;
 
 
entity tbench is
entity tbench is
   port(clk_out : out std_logic);
 
end; --entity tbench
end; --entity tbench
 
 
architecture logic of tbench is
architecture logic of tbench is
 
 
component mips_cpu
component mips_cpu
Line 78... Line 77...
        mem_write    => mem_write,
        mem_write    => mem_write,
        mem_address  => mem_address(15 downto 0),
        mem_address  => mem_address(15 downto 0),
        mem_data_w   => mem_data_w,
        mem_data_w   => mem_data_w,
        mem_data_r   => mem_data_r);
        mem_data_r   => mem_data_r);
 
 
   clk_out <= clk;
 
 
 
end; --architecture logic
end; --architecture logic
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.