OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [tags/] [Release_1.4/] [rtl/] [vhdl/] [core/] [fifo_generic.vhd] - Diff between revs 69 and 89

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 69 Rev 89
Line 136... Line 136...
  ramblock: dpram_generic
  ramblock: dpram_generic
    generic map(
    generic map(
      depth => depth+1
      depth => depth+1
    )
    )
    port map(
    port map(
      clk   => clk,
 
      -- write port
      -- write port
      waddr => wr_addr,
      clkA   => clk,
      we    => push_i_d,
      waddrA => wr_addr,
      din   => din,
      weA    => push_i_d,
 
      dinA   => din,
      -- read port
      -- read port
      raddr => rd_addr,
      clkB  => clk,
      dout  => dout
      raddrB => rd_addr,
 
      doutB  => dout
    );
    );
 
 
end arch;
end arch;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.