URL
https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk
Show entire file |
Details |
Blame |
View Log
Rev 54 |
Rev 56 |
Line 242... |
Line 242... |
trigger_offset_O: out std_logic_vector(14 downto 0);
|
trigger_offset_O: out std_logic_vector(14 downto 0);
|
|
|
adc_conf_O: out std_logic_vector(15 downto 0);
|
adc_conf_O: out std_logic_vector(15 downto 0);
|
|
|
error_number_I: in std_logic_vector (2 downto 0);
|
error_number_I: in std_logic_vector (2 downto 0);
|
running_I: in std_logic;
|
status_I: in std_logic_vector(1 downto 0);
|
error_flag_I: in std_logic;
|
|
|
|
write_in_adc_O: out std_logic;
|
write_in_adc_O: out std_logic;
|
stop_O: out std_logic
|
stop_O: out std_logic
|
);
|
);
|
end component ctrl_address_allocation;
|
end component ctrl_address_allocation;
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.