OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [ctrl/] [trigger_manager.vhd] - Diff between revs 33 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 33 Rev 37
Line 1... Line 1...
-------------------------------------------------------------------------------------------------100
-------------------------------------------------------------------------------------------------100
--| Modular Oscilloscope
--| Modular Oscilloscope
--| UNSL - Argentine
--| UNSL - Argentine
--|
--|
--| File: trigger_manager.vhd
--| File: ctrl_trigger_manager.vhd
--| Version: 0.1
--| Version: 0.1
--| Tested in: Actel A3PE1500
--| Tested in: Actel A3PE1500
--|-------------------------------------------------------------------------------------------------
--|-------------------------------------------------------------------------------------------------
--| Description:
--| Description:
--|   CONTROL - Trigger manager
--|   CONTROL - Trigger manager
Line 31... Line 31...
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.NUMERIC_STD.ALL;
 
 
 
 
entity trigger_manager is
entity ctrl_trigger_manager is
  generic (
  generic (
    MEM_ADD_WIDTH:  integer := 14;
    MEM_ADD_WIDTH:  integer := 14;
    DATA_WIDTH:     integer := 10;
    DATA_WIDTH:     integer := 10;
    CHANNELS_WIDTH: integer := 4
    CHANNELS_WIDTH: integer := 4
  );
  );
Line 58... Line 58...
    trigger_O:        out std_logic;
    trigger_O:        out std_logic;
    -- address when trigger plus offset
    -- address when trigger plus offset
    address_O:        out std_logic_vector (MEM_ADD_WIDTH - 1 downto 0)
    address_O:        out std_logic_vector (MEM_ADD_WIDTH - 1 downto 0)
  );
  );
 
 
end entity trigger_manager;
end entity ctrl_trigger_manager;
 
 
architecture arch01_trigger of trigger_manager is
architecture arch01_trigger of ctrl_trigger_manager is
  -- trigger process signals
  -- trigger process signals
  signal higher, higher_reg: std_logic;
  signal higher, higher_reg: std_logic;
  signal pre_trigger: std_logic;
  signal pre_trigger: std_logic;
 
 
  -- signals for output address selection
  -- signals for output address selection

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.