OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [ctrl/] [trigger_manager.vhd] - Diff between revs 37 and 48

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 37 Rev 48
Line 23... Line 23...
 
 
--==================================================================================================
--==================================================================================================
-- TODO
-- TODO
-- · (OK) Test offset sum
-- · (OK) Test offset sum
-- · Speed up
-- · Speed up
 
-- · Compare performance with address_O = actual trigger address - 1
--==================================================================================================
--==================================================================================================
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.