OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [daq/] [daq.vhd] - Diff between revs 23 and 30

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 23 Rev 30
Line 1... Line 1...
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
--| Modular Oscilloscope
--| Modular Oscilloscope
--| UNSL - Argentina
--| UNSL - Argentina
--|
--|
--| File: adq.vhd
--| File: daq.vhd
--| Version: 0.1
--| Version: 0.1
--| Tested in: Actel A3PE1500
--| Tested in: Actel A3PE1500
--|-------------------------------------------------------------------------------------------------
--|-------------------------------------------------------------------------------------------------
--| Description:
--| Description:
--|   Adquisition control module. 
--|   Acquisition control module. 
--|   It drives the ADC chips.
--|   It drives the ADC chip.
--|-------------------------------------------------------------------------------------------------
--|-------------------------------------------------------------------------------------------------
--| File history:
--| File history:
--|   0.01   | apr-2008 | First testing
--|   0.01   | apr-2008 | First testing
--|   0.10   | apr-2009 | First release
--|   0.10   | apr-2009 | First release
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
Line 42... Line 42...
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--use IEEE.STD_LOGIC_ARITH.all;
--use IEEE.STD_LOGIC_ARITH.all;
use IEEE.NUMERIC_STD.ALL;
use IEEE.NUMERIC_STD.ALL;
--use work.adq_pgk.all;
--use work.adq_pgk.all;
 
 
entity adq is
entity daq is
  generic (
  generic (
    DEFALT_CONFIG : std_logic_vector := "0000100000000000"
    DEFALT_CONFIG : std_logic_vector := "0000100000000000"
                                      -- bits 8 a 0       clk_pre_scaler
                                      -- bits 8 a 0       clk_pre_scaler
                                      -- bits 9           clk_pre_scaler_ena
                                      -- bits 9           clk_pre_scaler_ena
                                      -- bit 10           adc_sleep
                                      -- bit 10           adc_sleep
Line 75... Line 75...
    STB_I:  in  std_logic;
    STB_I:  in  std_logic;
    WE_I:   in  std_logic;
    WE_I:   in  std_logic;
    DAT_O:  out std_logic_vector (15 downto 0);
    DAT_O:  out std_logic_vector (15 downto 0);
    ACK_O:  out std_logic
    ACK_O:  out std_logic
  );
  );
end adq;
end daq;
 
 
 
 
architecture beh1 of adq is
architecture beh1 of daq is
  -- Tipos
  -- Tipos
  type data_array is array(0 to 2) of std_logic_vector(15 downto 0);
  type data_array is array(0 to 2) of std_logic_vector(15 downto 0);
 
 
 
 
                --   type arr is array(0 to 3) of std_logic_vector(15 downto 0);
                --   type arr is array(0 to 3) of std_logic_vector(15 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.