OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn.vhd] - Diff between revs 16 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 16 Rev 19
Line 1... Line 1...
--|-----------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
--| UNSL - Modular Oscilloscope
--| Modular Oscilloscope
 
--| UNSL - Argentine
--|
--|
--| File: eppwbn_wbn_side.vhd
--| File: eppwbn.vhd
--| Version: 0.01
--| Version: 0.1
--| Targeted device: Actel A3PE1500 
--| Tested in: Actel APA300
--|-----------------------------------------------------------------------------
--|-------------------------------------------------------------------------------------------------
--| Description:
--| Description:
--|   EPP - Wishbone bridge. 
--|   EPP - Wishbone bridge. 
--|       Instantiate all the other modules. The TOP file.
--|   The top module for 8 bit wisbone data bus.
--------------------------------------------------------------------------------
--|-------------------------------------------------------------------------------------------------
--| File history:
--| File history:
--|   0.01  | dic-2008 | First release
--|   0.01  | dic-2008 | First release
--------------------------------------------------------------------------------
--|   0.10  | feb-2009 | Working
 
----------------------------------------------------------------------------------------------------
--| Copyright ® 2008, Facundo Aguilera.
--| Copyright ® 2008, Facundo Aguilera.
--|
--|
--| This VHDL design file is an open design; you can redistribute it and/or
--| This VHDL design file is an open design; you can redistribute it and/or
--| modify it and/or implement it after contacting the author.
--| modify it and/or implement it after contacting the author.
 
 
 
--| Wishbone Rev. B.3 compatible
 
----------------------------------------------------------------------------------------------------
 
 
 
 
 
 
-- Bloque completo
-- Bloque completo
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;
Line 38... Line 44...
        PeriphLogicH: out std_logic;                                                            --  (Periph Logic High)
        PeriphLogicH: out std_logic;                                                            --  (Periph Logic High)
        nInit: in std_logic;                                                                                            --  nReverseRequest
        nInit: in std_logic;                                                                                            --  nReverseRequest
        nFault: out std_logic;                                                                                  --  nDataAvail/nPeriphRequest
        nFault: out std_logic;                                                                                  --  nDataAvail/nPeriphRequest
        nSelectIn: in std_logic;                                                                                --  1284 Active/nAStrb
        nSelectIn: in std_logic;                                                                                --  1284 Active/nAStrb
 
 
 
 
        --  Interno
        --  Interno
        RST_I: in std_logic;
        RST_I: in std_logic;
        CLK_I: in std_logic;
        CLK_I: in std_logic;
        DAT_I: in std_logic_vector (7 downto 0);
        DAT_I: in std_logic_vector (7 downto 0);
        DAT_O: out std_logic_vector (7 downto 0);
        DAT_O: out std_logic_vector (7 downto 0);
Line 77... Line 84...
 
 
 
 
 
 
 
 
begin
begin
 
 
        -- Conexión del módulo de control
        -- Conexión del módulo de control
        U1:  eppwbn_ctrl
        U1:  eppwbn_ctrl
                port map (
                port map (
                        nStrobe => s_ctr_nStrobe,
                        nStrobe => s_ctr_nStrobe,
                        Data => Data,
                        Data => Data,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.