OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn.vhd] - Diff between revs 22 and 42

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 42
Line 3... Line 3...
--| UNSL - Argentine
--| UNSL - Argentine
--|
--|
--| File: eppwbn.vhd
--| File: eppwbn.vhd
--| Version: 0.1
--| Version: 0.1
--| Tested in: Actel APA300
--| Tested in: Actel APA300
 
--| Tested in: Actel A3PE1500
 
--|   Board: RVI Prototype Board + LP Data Conversion Daughter Board
--|-------------------------------------------------------------------------------------------------
--|-------------------------------------------------------------------------------------------------
--| Description:
--| Description:
--|   EPP - Wishbone bridge. 
--|   EPP - Wishbone bridge. 
--|   The top module for 8 bit wisbone data bus.
--|   The top module for 8 bit wisbone data bus.
--|-------------------------------------------------------------------------------------------------
--|-------------------------------------------------------------------------------------------------
--| File history:
--| File history:
--|   0.01  | dic-2008 | First release
--|   0.01  | dic-2008 | First release
--|   0.10  | feb-2009 | Working
--|   0.10  | feb-2009 | Working
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
--| Copyright ® 2008, Facundo Aguilera.
--| Copyright © 2008, Facundo Aguilera.
--|
--|
--| This VHDL design file is an open design; you can redistribute it and/or
--| This VHDL design file is an open design; you can redistribute it and/or
--| modify it and/or implement it after contacting the author.
--| modify it and/or implement it after contacting the author.
 
 
--| Wishbone Rev. B.3 compatible
--| Wishbone Rev. B.3 compatible

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.