Line 11... |
Line 11... |
--| Description:
|
--| Description:
|
--| EPP - Wishbone bridge.
|
--| EPP - Wishbone bridge.
|
--| The top module for 8 bit wisbone data bus.
|
--| The top module for 8 bit wisbone data bus.
|
--|-------------------------------------------------------------------------------------------------
|
--|-------------------------------------------------------------------------------------------------
|
--| File history:
|
--| File history:
|
--| 0.01 | dic-2008 | First release
|
|
--| 0.10 | feb-2009 | Working
|
--| 0.10 | feb-2009 | Working
|
----------------------------------------------------------------------------------------------------
|
----------------------------------------------------------------------------------------------------
|
--| Copyright © 2008, Facundo Aguilera.
|
--| Copyright © 2008, Facundo Aguilera.
|
--|
|
--|
|
--| This VHDL design file is an open design; you can redistribute it and/or
|
--| This VHDL design file is an open design; you can redistribute it and/or
|
Line 34... |
Line 33... |
|
|
entity eppwbn is
|
entity eppwbn is
|
port(
|
port(
|
|
|
-- TEMPORAL
|
-- TEMPORAL
|
epp_mode_monitor: out std_logic_vector (1 downto 0);
|
--epp_mode_monitor: out std_logic_vector (1 downto 0);
|
|
|
|
|
|
|
-- Externo
|
-- Externo
|
nStrobe: in std_logic; -- Nomenclatura IEEE Std. 1284
|
nStrobe: in std_logic; -- Nomenclatura IEEE Std. 1284
|
-- HostClk/nWrite
|
-- HostClk/nWrite
|
Data: inout std_logic_vector (7 downto 0); -- AD8..1 (Data1..Data8)
|
Data: inout std_logic_vector (7 downto 0); -- AD8..1 (Data1..Data8)
|
Line 52... |
Line 49... |
PeriphLogicH: out std_logic; -- (Periph Logic High)
|
PeriphLogicH: out std_logic; -- (Periph Logic High)
|
nInit: in std_logic; -- nReverseRequest
|
nInit: in std_logic; -- nReverseRequest
|
nFault: out std_logic; -- nDataAvail/nPeriphRequest
|
nFault: out std_logic; -- nDataAvail/nPeriphRequest
|
nSelectIn: in std_logic; -- 1284 Active/nAStrb
|
nSelectIn: in std_logic; -- 1284 Active/nAStrb
|
|
|
|
|
-- Interno
|
-- Interno
|
RST_I: in std_logic;
|
RST_I: in std_logic;
|
CLK_I: in std_logic;
|
CLK_I: in std_logic;
|
DAT_I: in std_logic_vector (7 downto 0);
|
DAT_I: in std_logic_vector (7 downto 0);
|
DAT_O: out std_logic_vector (7 downto 0);
|
DAT_O: out std_logic_vector (7 downto 0);
|
Line 87... |
Line 83... |
|
|
signal s_ctr_nAutoFd: std_logic;
|
signal s_ctr_nAutoFd: std_logic;
|
signal s_ctr_nSelectIn: std_logic;
|
signal s_ctr_nSelectIn: std_logic;
|
signal s_ctr_nStrobe: std_logic;
|
signal s_ctr_nStrobe: std_logic;
|
|
|
|
|
|
|
|
|
|
|
begin
|
begin
|
|
|
-- TEMPORAL
|
-- TEMPORAL
|
epp_mode_monitor <= s_epp_mode;
|
--epp_mode_monitor <= s_epp_mode;
|
|
|
|
|
-- Conexión del módulo de control
|
-- Conexión del módulo de control
|
U1: eppwbn_ctrl
|
U1: eppwbn_ctrl
|
port map (
|
port map (
|